hng1123 发表于 2011-4-5 22:13:51

时序仿真时出现的问题

Error: Can't continue timing simulation because delay annotation information for design is missing

又遇到的大侠吗??
帮忙解决一下啊!!!!!!!!!!!!!!

homewell 发表于 2011-5-17 08:18:36

你在做时序仿真前未进行编译会报这个错误,你重新编译下,再去做时序仿真看看
页: [1]
查看完整版本: 时序仿真时出现的问题