小泡泡 发表于 2010-4-23 12:07:15

关于VHDL二维数组赋值的问题

在编程时涉及到二维数组对某确定一行的赋值问题,如下面定义一个5行5列的数组,数组元素为8位,若想对第一行赋值应怎么写,我写成下面样子,编译时会报错

定义部分:

type dataarray is array(0 to 4,0 to 4)of std_logic_vector(7 downto 0);---定义数组

signal memled : dataarray;

赋值部分:

memled(0,4downto 0) <=(x"00",x"42",x"fe",x"02",x"00");

还有就是在VHDL里二维数组会不会综合成功?

帮忙啊

fpga_feixiang 发表于 2023-9-8 14:19:25

6                        
页: [1]
查看完整版本: 关于VHDL二维数组赋值的问题