zzbapriil 发表于 2014-5-8 15:07:22

至芯科技ZX-2开发板测评-zzbapril

首先感谢至芯科技提供了这样一个学习的机会,也非常高兴能够得到一块开发板来做一些程序调试,我也期望能在这个开发板上提高自己的编程水平。
因为我前段时间耽误了,现在我才上传我的测评文档,我看了前几个朋友的测评,真的很厉害,他们的程度全都超过了我的程度,很惭愧,我做的是非常简单的程序,我把我的调试过程贴出来,期望各位高手给我指导。
我先调试了一下蜂鸣器,下面是是蜂鸣器的程序:
library ieee;
use ieee.std_logic_1164.all;
entity beep is
port(clk:in std_logic;
   output:buffer std_logic);
end;
architecture one of beep is
--signal temp:integer range 0 to 500000;
begin
process(clk)
variable temp:integer range 0 to 500000;
begin
if clk'event and clk='1'then
temp:=temp+1;end if;
if temp=30000 then output<=not output;
end if;
end process;
end;
因为系统带的晶振是50MHz的,所以进行分频后输出,我大概分了个数,蜂鸣器能响,是比较低沉的响声,如果想让蜂鸣器声音尖一点,可以调整整数的值。
我水平有限,现在先做这么多,我还在调数码显示,等调试结果出来后再做测试报告。
页: [1]
查看完整版本: 至芯科技ZX-2开发板测评-zzbapril