集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
收藏本版 (8) |订阅

FPGA技术交流 今日: 1 |主题: 12939|排名: 7 

作者 回复/查看 最后发表
预览 请问谁有system generator,能不能传一个给我 IPO 2010-10-13 01283 IPO 2010-10-13 10:27
预览 常用的FPGA型号有哪些啊 IPO 2010-10-13 01606 IPO 2010-10-13 10:26
预览 verilog hushucon 2010-10-13 01356 hushucon 2010-10-13 09:52
预览 有人用过LM3402芯片没?请教下,急~,谢谢 vvt 2010-10-12 01408 vvt 2010-10-12 21:49
预览 CPLD的烧写程序后缀是什么,我用赛灵思FPGA的是MCS vvt 2010-10-12 02064 vvt 2010-10-12 21:37
预览 一个关于乘法器的问题 attach_img a251075923 2010-9-21 21529 xuehuav6 2010-10-12 16:41
预览 请问FPGA能不能用它像51单片机一样构建最小系统自己做一块开发板啊?? ccs 2010-10-12 01487 ccs 2010-10-12 10:41
预览 VHDL语言如何实现 取余和取模 。不用MOD和REM运算符 ccs 2010-10-12 03246 ccs 2010-10-12 08:54
预览 用chioscope 捕捉信号时,为什么有些信号在列表里找不到? ccs 2010-10-12 01350 ccs 2010-10-12 08:53
预览 哪位有ISE12.2的ID注册码? ccs 2010-10-12 11762 ccs 2010-10-12 08:52
预览 求助!MAX+plus II编译错误 attach_img mylovejune 2010-9-21 31917 xiaxia23 2010-10-11 23:40
预览 求助,关于主机ping FPGA开发板的问题 four0clock 2010-10-11 11594 four0clock 2010-10-11 17:53
预览 在ISE11.5下面OK的工程,用ISE12.3编译,提示这个错误? IPO 2010-10-11 22615 IPO 2010-10-11 14:25
预览 【求助】如何将ucfs文件系统植入nios ii工程中? qinjv 2010-10-10 01509 qinjv 2010-10-10 10:55
预览 Quartus 10.0和Quartus 10.0 SP1有何区别 IPO 2010-10-10 01799 IPO 2010-10-10 09:42
预览 请问谁有system generator,能不能传一个给我 IPO 2010-10-10 01446 IPO 2010-10-10 09:41
预览 哪位用过ISE中IP的乘加器?指导一下可以吗? IPO 2010-10-10 01862 IPO 2010-10-10 09:40
预览 哪位兄弟有ISE 10.1 的教程,发来学习一下 IPO 2010-10-10 02262 IPO 2010-10-10 09:40
预览 如何检测24位数中连续0的最大个数 西邮阿立 2010-10-8 32080 wudi_xylon 2010-10-9 19:20
预览 求DPPL的实现代码 encounter 2010-6-27 11607 wudi_xylon 2010-10-9 19:17
预览 如何用Verilog HDL实现复数矩阵的乘法 CHA 2010-6-27 13501 wudi_xylon 2010-10-9 19:14
预览 基于vhdl行列式件键盘的程序  ...23 usb 2010-6-27 219213 天蝎诀 2010-10-9 17:48
预览 给大家整理出来的好网站呀 mybella 2010-10-9 01724 mybella 2010-10-9 16:39
预览 哪位大侠帮我解释一下macrocell attribute? zhangyueqiang 2010-10-8 02048 zhangyueqiang 2010-10-8 20:28
预览 强烈求助!!! 368zhangjian 2010-10-8 01412 368zhangjian 2010-10-8 12:36
预览 怎样用ise core generator产生的ip? CPLD 2010-4-10 54071 onlineman 2010-10-6 22:40
预览 在ISE里面 怎么把自己写的VHDL程序转成IP ? IPO 2010-9-6 11643 onlineman 2010-10-6 22:38
预览 ise中,一个模块对另一个模块调用的时候,还需要在前面加include 吗? vvt 2010-9-7 63997 onlineman 2010-10-6 22:37
预览 求助! changcomeon 2010-10-5 12150 chenliang1116 2010-10-6 19:33
预览 大家畅谈一下自己学习FPGA的经验和过程吧,期待中…… lichangyun 2010-10-4 01794 lichangyun 2010-10-4 10:07
预览 verilog与VHDL混合编程?? joanna 2010-9-5 26598 七缎夕落 2010-9-30 12:18
预览 那位朋友帮我看一下这句话是什么意思?VHDL语言 vhdl 2010-8-13 32435 七缎夕落 2010-9-30 12:15
预览 求助ise管脚location栏变成blank andymarl 2010-9-30 01705 andymarl 2010-9-30 00:31
预览 我想做个容量大,速度快的FIFO出来,请问选ALTERAR的哪一款开发板? muyiyangmei 2010-9-29 01757 muyiyangmei 2010-9-29 18:58
预览 哥哥姐姐们好,我是初学者,有个有关初级实验的几个问题想请教一下,谢谢帮忙哈! Soly 2010-8-1 32910 habc987@163.com 2010-9-29 18:02
预览 求助:ISE10.0安装问题,显示无效的windows映像 strongli 2010-9-27 22145 strongli 2010-9-29 15:22
预览 用modelsim时出现这样的问题,请问怎么样解决 vvt 2010-9-24 35284 cqq0919 2010-9-29 12:48
预览 状态为什么会转移到没有定义的全0呢 cqq0919 2010-9-28 21736 cqq0919 2010-9-29 11:59
预览 求助:quartus关联modelsim出现问题 zuozhuang 2010-9-28 02318 zuozhuang 2010-9-28 13:05
预览 求助,Verilog中的位或怎么输入? xiuzhu 2010-9-16 42773 maxizong 2010-9-27 11:18
下一页 »

快速发帖

还可输入 80 个字符
您需要登录后才可以发帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-4 23:06 , Processed in 0.074466 second(s), 16 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

返回顶部 返回版块