集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1301|回复: 0

怎样在ISE中仿真出波形?

[复制链接]
why 发表于 2011-6-10 23:54:28 | 显示全部楼层 |阅读模式
我在ise中仿真,出不来波形,老师说是没有信号。怎么样添加信号?
代码是这样的。
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity sanj is
port(clk3:in
std_logic;
dd3ut std_logic_vector(7 downto 0));
end sanj;
architecture art of
sanj is signal
b:std_logic;
signal c:std_logic_vector(7 downto 0);
begin
process(clk3)
begin
if (clk3'event and clk3='1')
then if(b='0')        then
c<=c+1;
if(c=250)        then
b<='1';
end if;
elsif(b='1')       
then
c<=c-1;
if(c=1)        then
b<='0';
end if;
end if;
dd3<=c;
end if;
end process;
end art;

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|fpga论坛|fpga设计论坛 ( 京ICP备20003123号-1 )

GMT+8, 2025-6-23 12:45 , Processed in 0.152662 second(s), 22 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表