集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 2449|回复: 1

FPGA快速入门-按键消抖

[复制链接]
lxw 发表于 2019-6-22 17:52:10 | 显示全部楼层 |阅读模式
FPGA快速入门-按键消抖
键盘分编码键盘和非编码键盘。键盘上闭合键的识别由专用的硬件编码器实现,并产生键编码号或键值的称为编码键盘,如计算机键盘。而靠软件编程来识别的称为非编码键盘。
在一般嵌入式应用中,用的最多的是非编码键盘,也有用到编码键盘的。非编码键盘又分为独立键盘和行列式(又称为矩阵式)键盘。所谓独立式键盘,即嵌入式CPU(或称MCU)的一个GPIO口对应一个按键输入,这个输入值的高低状态就是键值。矩阵键盘用于采集键值的GPIO是复用的,一般分为行和列采集,例如4*4矩阵键盘就只需要行列各4个按键就可以了,矩阵键盘的控制较独立键盘要复杂得多,本实验未涉及,所以对其原理不做详细介绍。
独立按键一般有2组管脚,虽然市面上我们常常看到有4个管脚的按键,但它们一般是两两导通的,这2组管脚在按键未被按下时是断开的,在按键被按下时则是导通的。基于此原理,我们一般会把按键的一个管脚接地,另一个管脚上拉到VCC,并且也连接到GPIO。这样,在按键未被按下时,GPIO的连接状态为上拉到VCC,则键值为1;按键被按下时,GPIO虽然还是上拉到VCC,但同时被导通的另一个管脚拉到地了,所以它的键值实际上是0。
如图所示,在本实验中,我们有一组4*4矩阵键盘。但是通过P12的PIN1-2短接时,其实S1/S2/S3/S4可以作为独立按键使用,它的一端接地,另一端在上拉的同时连接到FPGA的I/O口。当I/O口的电平为高(1)时,说明按键没有被按下,当I/O口的电平为低(0)时,说明按键被按下了。

图 1矩阵按键电路图
有人可能会说,按键值的采集判断有什么难的,我读连接按键的GPIO为1则未被按下,为0则被按下。话虽这么说,可实际情况可比这要复杂得多。如图8.11所示,按键在闭合和断开时,触点会存在抖动现象,这个抖动不仅和按键本身的机械结构有关,也和按键者的动作快慢轻重有关。因此,在按键按下或者释放的时候都会出现一个不稳定的抖动时间,如果不处理好这个抖动时间,我们就无法正确采集到正确有效的按键值,所以我们的设计中必须有效消除按键抖动。如何进行有效的消抖,是本实验的重点。

图 2按键抖动波形
在我们的按键采集中,为了有效的滤除按键抖动,我们使用了一个大约40ms的计数器,在按键值没有变化的时候,这个计数器总是不停的计数,并且计数到40ms最大值时进行一次当前按键值采样(作为最终键值锁存下来)。另外,我们专门设置2个寄存器对当前的按键输入值进行多拍锁存(并不作为最终的键值),并且利用这两个寄存器前后值的变化来判断当前键值是否有跳变(如从1变成0,或从0变成1)。若有键值的跳变,则40ms计数器就会清0,相当于重新开始计数,这样就能够保证按键被按下或者松开时短于40ms的抖动情况下不锁存键值,从而达到滤除任何短于40ms的按键抖动。在实际应用中,40ms足以应付一般的按键抖动,当然具体环境也要具体分析,设计者可以根据需要调整这个计数器的计数值,只要能够更好的满足抖动的需要即可。
如图所示,这里的40ms计数器只有在计数到最大值时产生锁存当前键值的时能信号,在抖动期间按键的采样周期也会相应的变长一些,但却能够得到更加稳定准确的键值。

图3按键消抖处理
除了前面所论及的按键消抖处理,该实验还需要用到LED指示灯进行按键状态的指示。该实验要实现一个独立按键控制一个发光二极管亮暗状态翻转。上电初始,发光二极管不亮,当某一个按键被按下后(即键值为0),发光二极管被点亮,当按键再次被按下时,发光二极管则又灭了,按键控制发光二级管如此反复的进行亮暗变化。
         本实例代码如下。
module cy4(
            input ext_clk_25m, //外部输入25MHz时钟信号
            input ext_rst_n,   //外部输入复位信号,低电平有效
            input[3:0] key_v,//4个独立按键输入,未按下为高电平,按下后为低电平
            output reg[7:0] led    //8个LED指示灯接口   
            );
//-------------------------------------
//按键抖动判断逻辑
wire key;   //所有按键值相与的结果,用于按键触发判断
reg[3:0] keyr;  //按键值key的缓存寄存器

assign key = key_v[0] & key_v[1] & key_v[2] & key_v[3];

always @(posedge ext_clk_25m or negedge ext_rst_n)
    if (!ext_rst_n) keyr <= 4'b1111;
    else keyr <= {keyr[2:0],key};

wire key_neg = ~keyr[2] & keyr[3]; //有按键被按下
wire key_pos = keyr[2] & ~keyr[3]; //有按键被释放

//-------------------------------------
//定时计数逻辑,用于对按键的消抖判断
reg[19:0]  cnt;

    //按键消抖定时计数器
always @ (posedge ext_clk_25m or negedge ext_rst_n)
   if (!ext_rst_n) cnt <= 20'd0;  
    else if(key_pos || key_neg) cnt <= 20'd0;
    else if(cnt < 20'd999_999) cnt <= cnt + 1'b1;
    else cnt <= 20'd0;

reg[3:0] key_value[1:0];

    //定时采集按键值
always @(posedge ext_clk_25m or negedge ext_rst_n)
    if (!ext_rst_n) begin
        key_value[0] <= 4'b1111;
        key_value[1] <= 4'b1111;
    end
    else begin
        key_value[1] <= key_value[0];     
        if(cnt == 20'd999_999) key_value[0] <= key_v;  //定时键值采集
        else ;
    end

wire[3:0] key_press = key_value[1] & ~key_value[0];       //消抖后按键值变化标志位

//-------------------------------------
//LED切换控制

always @ (posedge ext_clk_25m or negedge ext_rst_n)
    if (!ext_rst_n) led <= 8'hff;
    else if(key_press[0]) led[0] <= ~led[0];
    else if(key_press[1]) led[1] <= ~led[1];
    else if(key_press[2]) led[2] <= ~led[2];
    else if(key_press[3]) led[3] <= ~led[3];
    else ;
  
endmodule
         这段代码的前提是,所有4个独立按键,在任意一个按键被按下和释放期间,不会有其它按键也被按下或释放。在通常的应用中,一定也是符合这个假设的场景。
         我们处理消抖的逻辑是这样的:首先将所有按键输入信号做“逻辑与”操作,得到信号key。信号key的值锁存4拍分别存储到寄存器keyr[0]、keyr[1]、keyr[2]和keyr[3]中(此时的采样频率和基准时钟一致,为25MHz),通过keyr[2]和keyr[3]这两个寄存器获得key信号的上升沿标志位key_pos和下降沿标志位key_neg。key_pos和key_neg的获得过程分别如图4和图5所示,这是很典型的“脉冲边沿检测法”,后续很多代码中我们都会用到这个逻辑。

图4上升沿脉冲检测波形

图5下降沿脉冲检测波形
计数器cnt在key_pos和key_neg有效拉高时,都会清零重新开始计数,计数器cnt的最大计数值为40ms,若在某个固定时间内按键有抖动(这个抖动通常不会大于40ms,这是经验值),那么这段时间内计数器cnt会频繁的清0,cnt的计数值就不会计数到最大值。一旦cnt计数到最大值,我们就会对当前所有的按键值做一次锁存,锁存到4位寄存器key_value[0]中(即这个锁存操作的采样率是40ms为周期的,若按键的抖动小于40ms,那么采样的按键值是不会变化的,那么就达到了消除抖动的目的)。随后,以系统时钟节拍下,key_value[1]会锁存key_value[0]的值。当按键按下操作,产生按键值的下降沿变化,那么key_press就会获得一个时钟周期高脉冲的键值指示信号,通过这个键值指示信号,我们就可以对LED的翻转做相应处理。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
雷磊 发表于 2019-8-22 14:47:17 | 显示全部楼层
FPGA快速入门-按键消抖
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-6-17 11:37 , Processed in 0.066584 second(s), 21 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表