集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 795|回复: 0

各位帮我看看这代码错误在哪

[复制链接]
yancyliu 发表于 2013-5-17 19:01:18 | 显示全部楼层 |阅读模式
本帖最后由 yancyliu 于 2013-5-17 19:02 编辑

library lpm;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use work.mydefine.all;
entity lut is
    port(addr:in std_logic_vector(rank downto 0);
          outdata:  out std_logic_vector(7 downto 0);
          clk:in std_logic);
end lut;
architecture beh of lut is
component lpm_rom  ----------调用lpm rom实现查表
  generic(LPM_WIDTH:natural;----必须大于0
          LPM_WIDTHAD:natural;
          LPM_NUMWORDS:natural:=0;
          LPM_ADDRESS_CONTROL:string:="REGISTERED";
          LPM_OUTDATA:string:="REGISTERED";
          LPM_FILE:string;
          LPM_TYPE:string:="LPM_ROM";
          LPM_HINT:string:="UNUSED");
  port(ADDRESS:in STD_LOGIC_VECTOR(LPM_WIDTHAD-1 downto 0);
        INCLOCK:in STD_LOGIC:='0';
        OUTCLOCK:inSTD_LOGIC:='0';
        Q:  out std_logic_vector(LPM_WIDTH-1 downto 0));
end component;
      begin
      ul:lpm_rom----匹配各参数和端口
      generic map(8,rank,0,"registered","unregistered","rom.mif ","lpm_rom","unused")
              port map(inclock=>clk,address=>addr,q=>outdata);
end beh;


您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|fpga论坛|fpga设计论坛 ( 京ICP备20003123号-1 )

GMT+8, 2025-6-27 20:48 , Processed in 0.071647 second(s), 19 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表