集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 3615|回复: 5

Verilog波形仿真怎样避免毛刺

[复制链接]
fpga 发表于 2010-10-15 23:37:54 | 显示全部楼层 |阅读模式
Verilog波形仿真怎样避免毛刺
编写时怎样避免毛刺
 楼主| fpga 发表于 2010-10-15 23:38:08 | 显示全部楼层
Verilog波形仿真怎样避免毛刺
编写时怎样避免毛刺
fpga 发表于 2010-10-15 23:37



    用时序约束一下
 楼主| fpga 发表于 2010-10-15 23:38:31 | 显示全部楼层
我不太清楚你这毛刺到底是怎么产生的
你可以加个D触发器先试一下
wangziyi269 发表于 2012-3-30 10:56:27 | 显示全部楼层
dddddddddddd
wangziyi269 发表于 2012-3-30 10:56:38 | 显示全部楼层
dddddddddddd
ChenDongKui 发表于 2012-4-2 22:05:05 | 显示全部楼层
使用卡诺图分析方法,看看你的逻辑是不是有竞争冒险了,还有使用同步处理能有效避免毛刺的产生;
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-6-16 20:37 , Processed in 0.076660 second(s), 25 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表