集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1497|回复: 1

大家知道这个程序是做什么用的吗

[复制链接]
zhouliang 发表于 2011-2-16 13:40:02 | 显示全部楼层 |阅读模式
library ieee;
use ieee.std_logic_1164.all        ;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;

entity twice is
port (clk,rst:in std_logic;
       clk_temp:inout std_logic;
       d_out:inout std_logic;
       d_outn:inout std_logic;
       clk_outut std_logic);
end twice;

architecture rtl of twice is
begin
process(clk_temp,rst)
begin
        if(rst='1') then
                d_out<='0';
        else
                if(clk_temp'event and clk_temp='1') then
                        d_out<=d_outn;
                end if;
        end if;
end process;

clk_temp<=clk xor d_out;

clk_out<=clk_temp;

d_outn<=not d_out;
end rtl;
zhoujunxia 发表于 2011-5-10 09:43:41 | 显示全部楼层
D触发器哈!
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|fpga论坛|fpga设计论坛 ( 京ICP备20003123号-1 )

GMT+8, 2025-6-23 06:28 , Processed in 0.062608 second(s), 19 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表