集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
收藏本版 (6) |订阅

FPGA学习步骤 今日: 0|主题: 1351|排名: 6 

作者 回复/查看 最后发表
预览 勇敢的芯:伴你玩转NIOS+II_第九章 attachment 4798345 2017-8-18 21933 4798345 2017-8-19 14:44
预览 勇敢的芯:伴你玩转NIOS+II_第十章 4798345 2017-8-18 32270 4798345 2017-8-19 14:44
预览 请教个问题 attachment zhiweiqiang33 2017-8-16 22020 fpga_feixiang 2017-8-17 16:18
预览 FPGA工作原理是什么 attachment fpga_feixiang 2017-8-12 42117 zhiweiqiang33 2017-8-17 15:34
预览 RS485接口原理及应用之四 4798345 2017-4-9 63685 4798345 2017-8-17 09:03
预览 Verilog-HDL的基本语法 attachment 4798345 2017-8-12 62913 4798345 2017-8-17 09:02
预览 行场明晰 attachment 4798345 2017-8-12 31981 d643189658 2017-8-16 22:12
预览 分频器位宽和分频器是什么样的一个关系? zhiweiqiang33 2017-8-15 52670 fpga_feixiang 2017-8-16 14:23
预览 TCL脚本—分配管脚 attachment 4798345 2017-6-30 32200 4798345 2017-8-16 10:52
预览 基于边沿检测的按键消抖测试与仿真 attachment 4798345 2017-7-21 53057 4798345 2017-8-16 10:52
预览 关于modelsim仿真遇到的问题 zhiweiqiang33 2017-8-13 21624 zhiweiqiang33 2017-8-16 09:50
预览 网友经验分享: Verilog设计注意 d643189658 2017-8-11 22025 d643189658 2017-8-11 20:47
预览 在Verilog中何时用wire,何时用reg? d643189658 2017-8-11 24008 d643189658 2017-8-11 20:47
预览 Verilog小提示 d643189658 2017-8-11 22095 d643189658 2017-8-11 20:47
预览 可编程逻辑培训——Verilog 语言基础 d643189658 2017-8-11 22470 d643189658 2017-8-11 20:47
预览 如何在quartus ii 中添加器件库 奋斗的小孩 2016-5-14 76688 d643189658 2017-8-11 18:14
预览 FPGA_100天之旅_频率计设计 attachment FPGA_one 2017-6-18 53477 d643189658 2017-8-11 18:13
预览 if_else_case语句测试仿真 attachment 4798345 2017-7-4 31853 d643189658 2017-8-11 18:12
预览 如何使用FPGA加速机器学习算法? fpga_feixiang 2017-8-5 22107 d643189658 2017-8-11 18:12
预览 cyclone4-handbook,值得一看 - [售价 2 金钱] attachment 晓灰灰 2017-8-3 21873 d643189658 2017-8-11 18:11
预览 rom核测试与仿真 attachment 4798345 2017-7-8 32398 d643189658 2017-8-11 18:11
预览 1’b1有话要说 新人帖 attach_img  ...2 二进制_0001 2017-7-31 107847 d643189658 2017-8-11 18:11
预览 FPGA是如何实现30倍速度的云加速的? fpga_feixiang 2017-8-5 11942 d643189658 2017-8-11 18:10
预览 dds实例分享 attachment 4798345 2017-7-24 63125 d643189658 2017-8-11 18:09
预览 深入了解逻辑分析仪 attachment 4798345 2017-8-1 42018 d643189658 2017-8-11 18:09
预览 好东西 attachment  ...2 4798345 2017-7-26 106278 d643189658 2017-8-11 18:08
Verilog经典教程 - [阅读权限 10]attachment 4798345 2017-8-10 417 d643189658 2017-8-11 18:08
预览 奋斗的小孩之altera 系列 第二十四篇 自动售货机 attachment 奋斗的小孩 2016-8-30 73983 小丽呀小丽 2017-8-10 17:41
FPGA设计思想 - [阅读权限 30]attachment 4798345 2017-8-10 14 晓灰灰 2017-8-10 10:33
FPGA注意事项 - [阅读权限 30]attachment 4798345 2017-8-9 36 4798345 2017-8-10 09:41
预览 基于FPGA的PCI接口控制器的研究 attachment 4798345 2017-8-1 43549 4798345 2017-8-10 09:40
预览 更多FPGA资料下载链接 attachment 4798345 2017-7-26 63240 4798345 2017-8-10 09:40
预览 fifo测试与仿真 attachment 4798345 2017-7-10 42559 4798345 2017-8-10 09:39
FIFO经验谈 - [阅读权限 30]attachment 4798345 2017-8-8 04 4798345 2017-8-8 10:22
预览 官方权威资料,值得一看--cyc2_cii5v1_handbook - [售价 2 金钱] attachment 晓灰灰 2017-8-4 11534 晓灰灰 2017-8-4 11:11
预览 ram核测试与仿真 attachment 4798345 2017-7-8 52672 d643189658 2017-8-3 13:58
预览 华为FPGA设计流程指南 attachment 4798345 2017-7-2 53160 4798345 2017-8-1 21:47
预览 数控分频 attachment 4798345 2017-7-25 21734 晓灰灰 2017-7-26 09:49
预览 FPGA快速入门的途径 4798345 2017-7-9 93144 4798345 2017-7-24 16:23
预览 波形生成工具 attachment 4798345 2017-7-24 01708 4798345 2017-7-24 16:20
下一页 »

快速发帖

还可输入 80 个字符
您需要登录后才可以发帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-27 04:02 , Processed in 0.061127 second(s), 16 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

返回顶部 返回版块