集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 2695|回复: 6

基于ZX-2型FPGA开发板的串口示波器(二)

[复制链接]
小梅哥 发表于 2015-4-9 11:00:30 | 显示全部楼层 |阅读模式
基于ZX-2型FPGA开发板的串口示波器(二)

串口发送与接收模块设计代码分析
1.1Tx_Bps_Gen
Tx_Bps_Gen为发送波特率生成模块,每当有Byte_En信号到来时,即开始产生发送一个完整字节的数据需要的完整波特率时钟信号。
本设计,波特率支持9600bps到921600bps。例如,需要产生的波特率时钟为9600bps,即波特率时钟频率为9600Hz,周期为104.17us。生成9600Hz波特率时钟的核心思想就是对系统时钟进行计数,这里设定系统时钟为50MHz,则一个时钟的周期为20ns,我们只需要对系统时钟计数5208次,每计数5208次产生一个时钟周期的高电平脉冲,即可实现生成9600Hz波特率时钟的功能。相应代码如下所示:
  1. 018     parameter system_clk = 50_000_000; /*输入时钟频率设定,默认50M*/
  2. 019
  3. 020 /*根据输入时钟频率计算生成各波特率时分频计数器的计数最大值*/        
  4. 021     localparam bps9600 = system_clk/9600 - 1;
  5. 022     localparam bps19200 = system_clk/19200 - 1;
  6. 023     localparam bps38400 = system_clk/38400 - 1;
  7. 024     localparam bps57600 = system_clk/57600 - 1;
  8. 025     localparam bps115200 = system_clk/115200 - 1;
  9. 026     localparam bps230400 = system_clk/230400 - 1;
  10. 027     localparam bps460800 = system_clk/460800 - 1;
  11. 028     localparam bps921600 = system_clk/921600 - 1;      
  12. 029     
  13. 030     reg [31:0]BPS_PARA;/*波特率分频计数器的计数最大值*/
  14. 031
  15. 032     always@(posedge Clk or negedge Rst_n)
  16. 033     if(!Rst_n)begin
  17. 034         BPS_PARA <= bps9600;/*复位时波特率默认为9600bps*/
  18. 035     end
  19. 036     else begin
  20. 037         case(Baud_Set)/*根据波特率控制信号选择不同的波特率计数器计数最大值*/
  21. 038             3'd0: BPS_PARA <= bps9600;
  22. 039             3'd1: BPS_PARA <= bps19200;
  23. 040             3'd2: BPS_PARA <= bps38400;
  24. 041             3'd3: BPS_PARA <= bps57600;
  25. 042             3'd4: BPS_PARA <= bps115200;
  26. 043             3'd5: BPS_PARA <= bps230400;
  27. 044             3'd6: BPS_PARA <= bps460800;
  28. 045             3'd7: BPS_PARA <= bps921600;            
  29. 046             default: BPS_PARA <= bps9600;
  30. 047         endcase
  31. 048     end
  32. 049     
  33. 050 //=========================================================
  34. 051     reg[12:0]Count;
  35. 052     
  36. 053     reg n_state;
  37. 054     localparam IDEL_1 = 1'b0,
  38. 055                   SEND   = 1'b1;
  39. 056                  
  40. 057     reg BPS_EN;
  41. 058     
  42. 059 /*-------波特率时钟生成控制逻辑--------------*/  
  43. 060     always@(posedge Clk or negedge Rst_n)
  44. 061     if(!Rst_n)begin
  45. 062         BPS_EN <= 1'b0;
  46. 063         n_state <= IDEL_1;
  47. 064     end
  48. 065     else begin
  49. 066         case(n_state)
  50. 067             IDEL_1:
  51. 068                 if(Byte_En)begin/*检测到字节发送使能信号,则启动波特率生成进程,同时进入发送状态*/
  52. 069                     BPS_EN <= 1'b1;
  53. 070                     n_state <= SEND;
  54. 071                 end
  55. 072                 else begin
  56. 073                     n_state <= IDEL_1;
  57. 074                     BPS_EN <= 1'b0;
  58. 075                 end
  59. 076             SEND:
  60. 077                 if(Tx_Done == 1)begin/*发送完成,关闭波特率生成进程,回到空闲状态*/
  61. 078                     BPS_EN <= 1'b0;
  62. 079                     n_state <= IDEL_1;
  63. 080                 end
  64. 081                 else begin
  65. 082                     n_state <= SEND;
  66. 083                     BPS_EN <= 1'b1;
  67. 084                 end
  68. 085             default:n_state <= IDEL_1;
  69. 086         endcase
  70. 087     end
  71. 088
  72. 089 /*-------波特率时钟生成定时器--------------*/
  73. 090     always@(posedge Clk or negedge Rst_n)
  74. 091     if(!Rst_n)
  75. 092         Count <= 13'd0;
  76. 093     else if(BPS_EN == 1'b0)
  77. 094         Count <= 13'd0;
  78. 095     else begin
  79. 096         if(Count == BPS_PARA)
  80. 097             Count <= 13'd0;
  81. 098         else
  82. 099             Count <= Count + 1'b1;
  83. 100     end
  84. 101     
  85. 102 /*输出数据接收采样时钟*/  
  86. 103 //-----------------------------------------------
  87. 104     always @(posedge Clk or negedge Rst_n)
  88. 105     if(!Rst_n)
  89. 106         Bps_Clk <= 1'b0;
  90. 107     else if(Count== 1)
  91. 108         Bps_Clk <= 1'b1;
  92. 109     else
  93. 110         Bps_Clk <= 1'b0;
复制代码


第18行“parameter system_clk = 50_000_000;”,这里用一个全局参数定义了系统时钟,暂时设定为50M,可根据实际使用的板卡上的工作时钟进行修改。
所谓波特率生成,就是用一个定时器来定时,产生频率与对应波特率时钟频率相同的时钟信号。例如,我们使用波特率为115200bps,则我们需要产生一个频率为115200Hz的时钟信号。那么如何产生这样一个115200Hz的时钟信号呢?这里,我们首先将115200Hz时钟信号的周期计算出来,1秒钟为1000_000_000ns,因此波特率时钟的周期Tb= 1000000000/115200 =8680.6ns,即115200信号的一个周期为8680.6ns,那么,我们只需要设定我们的定时器定时时间为8680.6ns,每当定时时间到,产生一个系统时钟周期长度的高脉冲信号即可。系统时钟频率为50MHz,即周期为20ns,那么,我们只需要计数8680/20个系统时钟,就可获得8680ns的定时,即bps115200=Tb/Tclk - 1=Tb*fclk - 1=fclk/115200-1。相应的,其它波特率定时值的计算与此类似,这里小梅哥就不再一一分析。20行至28行为波特率定时器定时值的计算部分。
为了能够通过外部控制波特率,设计中使用了一个3位的波特率选择端口:Baud_Set。通过给此端口不同的值,就能选择不同的波特率,此端口控制不同波特率的原理很简单,就是一个多路选择器,第32行至第48行即为此多路选择器的控制代码, Baud_Set的值与各波特率的对应关系如下:
000 : 9600bps;
001 : 19200bps;
010 :38400bps;
011 :57600bps;
100 :115200bps;
101 :230400bps;
110 :460800bps;
111 :921600bps;

1.2Uart_Byte_Tx
Uart_Byte_Tx为字节发送模块,该模块在波特率时钟的节拍下,依照UART通信协议发送一个完整的字节的数据。当一个字节发送完毕后,Tx_Done产生一个高脉冲信号,以告知其它模块或逻辑一个字节的数据已经传输完成,可以开始下一个字节的发送了。其发送一个字节数据的实现代码如下:

  1. 33  /*计数波特率时钟,11个波特率时钟为一次完整的数据发送过程*/   
  2. 34      always@(posedge Clk or negedge Rst_n)
  3. 35      if(!Rst_n)
  4. 36          Bps_Clk_Cnt <= 4'b0;
  5. 37      else if(Bps_Clk_Cnt == 4'd11)
  6. 38          Bps_Clk_Cnt <= 4'b0;
  7. 39      else if(Bps_Clk)
  8. 40          Bps_Clk_Cnt <= Bps_Clk_Cnt + 1'b1;
  9. 41      else
  10. 42          Bps_Clk_Cnt <= Bps_Clk_Cnt;
  11. 43
  12. 44  /*生成数据发送完成标志信号*/        
  13. 45      always@(posedge Clk or negedge Rst_n)
  14. 46      if(!Rst_n)
  15. 47          Tx_Done <= 1'b0;
  16. 48      else if(Bps_Clk_Cnt == 4'd11)
  17. 49          Tx_Done <= 1'b1;
  18. 50      else
  19. 51          Tx_Done <= 1'b0;
  20. 52
  21. 53  /*在开始发送起始位的时候就读取并寄存Data_Byte,以免Data_Byte变化导致数据的丢失*/      
  22. 54      always@(posedge Clk or negedge Rst_n)
  23. 55      if(!Rst_n)
  24. 56          Data = 8'd0;
  25. 57      else if(Bps_Clk & Bps_Clk_Cnt == 4'd1)
  26. 58          Data <= Data_Byte;
  27. 59      else
  28. 60          Data <= Data;
  29. 61
  30. 62  /*发送数据序列机*/      
  31. 63      always@(posedge Clk or negedge Rst_n)
  32. 64      if(!Rst_n)  
  33. 65          Rs232_Tx <= 1'b1;
  34. 66      else begin
  35. 67          case(Bps_Clk_Cnt)
  36. 68              4'd1: Rs232_Tx <= 1'b0;
  37. 69              4'd2: Rs232_Tx <= Data[0];
  38. 70              4'd3: Rs232_Tx <= Data[1];
  39. 71              4'd4: Rs232_Tx <= Data[2];  
  40. 72              4'd5: Rs232_Tx <= Data[3];
  41. 73              4'd6: Rs232_Tx <= Data[4];
  42. 74              4'd7: Rs232_Tx <= Data[5];
  43. 75              4'd8: Rs232_Tx <= Data[6];
  44. 76              4'd9: Rs232_Tx <= Data[7];
  45. 77              4'd10: Rs232_Tx <= 1'b1;
  46. 78              default:Rs232_Tx <= 1'b1;
  47. 79          endcase
  48. 80      end
复制代码

在UART协议中,一个完整的字节包括一位起始位、8位数据位、一位停止位即总共十位数据,那么,要想完整的实现这十位数据的发送,就需要11个波特率时钟脉冲,如下所示:

BPS_CLK信号的第一个上升沿到来时,字节发送模块开始发送起始位,接下来的2到9个上升沿,发送8个数据位,第10个上升沿到第11个上升沿为停止位的发送。

单个串口接收模块中实现串口数据接收的主要代码如下所示:

  1. 025     always @ (posedge Clk or negedge Rst_n)
  2. 026     if(!Rst_n) begin
  3. 027         Rs232_Rx0 <= 1'b0;
  4. 028         Rs232_Rx1 <= 1'b0;
  5. 029         Rs232_Rx2 <= 1'b0;
  6. 030         Rs232_Rx3 <= 1'b0;
  7. 031     end
  8. 032     else begin
  9. 033         Rs232_Rx0 <= Rs232_Rx;
  10. 034         Rs232_Rx1 <= Rs232_Rx0;
  11. 035         Rs232_Rx2 <= Rs232_Rx1;
  12. 036         Rs232_Rx3 <= Rs232_Rx2;
  13. 037     end
  14. 038     
  15. 039     wire neg_Rs232_Rx= Rs232_Rx3 & Rs232_Rx2 & ~Rs232_Rx1 & ~Rs232_Rx0;
  16. 040     
  17. 041     assign Byte_En = neg_Rs232_Rx;
  18. 042
  19. 043 /*----------计数采样时钟--------------*/
  20. 044 /*9倍波特率采样时钟,故一个完整的接收过程有90个波特率时钟*/
  21. 045     reg[6:0]Sample_Clk_Cnt;
  22. 046     always @ (posedge Clk or negedge Rst_n)
  23. 047     if(!Rst_n)
  24. 048         Sample_Clk_Cnt <= 7'd0;
  25. 049     else if(Sample_Clk)begin
  26. 050         if(Sample_Clk_Cnt == 7'd89)
  27. 051             Sample_Clk_Cnt <= 7'd0;
  28. 052         else
  29. 053             Sample_Clk_Cnt <= Sample_Clk_Cnt + 1'b1;
  30. 054     end
  31. 055     else
  32. 056         Sample_Clk_Cnt <= Sample_Clk_Cnt;
  33. 057
  34. 058     reg [1:0]Start_Bit; /*起始位,这里虽然定义,但并未使用该位来判断接收数据的正确性,即默认接收都是成功的*/
  35. 059     reg [1:0]Stop_Bit;  /*停止位,这里虽然定义,但并未使用该位来判断接收数据的正确性,即默认接收都是成功的*/
  36. 060     reg [1:0] Data_Tmp[7:0];/*此部分较为复杂,请参看说明文档中相关解释*/
  37. 061     
  38. 062     always @ (posedge Clk or negedge Rst_n)
  39. 063     if(!Rst_n)begin
  40. 064         Data_Tmp[0] <= 2'd0;
  41. 065         Data_Tmp[1] <= 2'd0;
  42. 066         Data_Tmp[2] <= 2'd0;
  43. 067         Data_Tmp[3] <= 2'd0;
  44. 068         Data_Tmp[4] <= 2'd0;
  45. 069         Data_Tmp[5] <= 2'd0;
  46. 070         Data_Tmp[6] <= 2'd0;
  47. 071         Data_Tmp[7] <= 2'd0;
  48. 072         Start_Bit <= 2'd0;
  49. 073         Stop_Bit <= 2'd0;      
  50. 074     end
  51. 075     else if(Sample_Clk)begin
  52. 076         case(Sample_Clk_Cnt)
  53. 077             7'd0:
  54. 078                 begin
  55. 079                     Data_Tmp[0] <= 2'd0;
  56. 080                     Data_Tmp[1] <= 2'd0;
  57. 081                     Data_Tmp[2] <= 2'd0;
  58. 082                     Data_Tmp[3] <= 2'd0;
  59. 083                     Data_Tmp[4] <= 2'd0;
  60. 084                     Data_Tmp[5] <= 2'd0;
  61. 085                     Data_Tmp[6] <= 2'd0;
  62. 086                     Data_Tmp[7] <= 2'd0;
  63. 087                     Start_Bit <= 2'd0;
  64. 088                     Stop_Bit <= 2'd0;   
  65. 089                 end
  66. 090             7'd3,7'd4,7'd5: Start_Bit <= Start_Bit + Rs232_Rx;
  67. 091             7'd12,7'd13,7'd14:Data_Tmp[0] <= Data_Tmp[0] + Rs232_Rx;
  68. 092             7'd21,7'd22,7'd23:Data_Tmp[1] <= Data_Tmp[1] + Rs232_Rx;
  69. 093             7'd30,7'd31,7'd32:Data_Tmp[2] <= Data_Tmp[2] + Rs232_Rx;
  70. 094             7'd39,7'd40,7'd41:Data_Tmp[3] <= Data_Tmp[3] + Rs232_Rx;
  71. 095             7'd48,7'd49,7'd50:Data_Tmp[4] <= Data_Tmp[4] + Rs232_Rx;
  72. 096             7'd57,7'd58,7'd59:Data_Tmp[5] <= Data_Tmp[5] + Rs232_Rx;   
  73. 097             7'd66,7'd67,7'd68:Data_Tmp[6] <= Data_Tmp[6] + Rs232_Rx;
  74. 098             7'd75,7'd76,7'd77:Data_Tmp[7] <= Data_Tmp[7] + Rs232_Rx;   
  75. 099             7'd84,7'd85,7'd86:Stop_Bit <= Stop_Bit + Rs232_Rx;
  76. 100             default:;
  77. 101         endcase
  78. 102     end
  79. 103     else ;
复制代码


根据串口发送协议,一个字节的数据传输是以一个波特率周期的低电平作为起始位的,因此,成功接收UART串口数据的核心就是准确检测起始位。由于外部串口发送过来的数据与接收系统不在同一个时钟域,因此不能直接使用该信号的下降沿来作为检测标志,我们需要在fpga中,采用专用的边沿检测电路来实现,第25行至37行通过四个移位寄存器,存储连续四个时钟上升沿时外部发送数据线的状态,第39行通过比较前两个时钟时数据线的状态与后两个时钟时数据线的状态,来得到该数据线的准确下降沿,以此保证起始位的准确检测。
在简单的串口接收中,我们通常选取一位数据的中间时刻进行采样,因为此时数据最稳定,但是在工业环境中,存在着各种干扰,在干扰存在的情况下,如果采用传统的中间时刻采样一次的方式,采样结果就有可能受到干扰而出错。为了滤除这种干扰,这里采用多次采样求概率的方式。如下图,将一位数据平均分成9个时间段,对位于中间的三个时间段进行采样。然后对三个采样结果进行统计判断,如果某种电平状态在三次采样结果中占到了两次及以上,则可以判定此电平状态即为正确的数据电平。例如4、5、6时刻采样结果分别为1、1、0,那么就取此位解码结果为1,否则,若三次采样结果为0、1、0,则解码结果就为0。

因为采样一位需要9个时钟上升沿,因此,采样一个完整的数据需要10*9,即90个时钟上升沿,这里,采样时钟为波特率时钟的9倍。产生采样时钟的部分代码如下所示:

  1. 089 /*-------波特率时钟生成定时器--------------*/
  2. 090     always@(posedge Clk or negedge Rst_n)
  3. 091     if(!Rst_n)
  4. 092         Count <= 10'd0;
  5. 093     else if(BPS_EN == 1'b0)
  6. 094         Count <= 10'd0;
  7. 095     else begin
  8. 096         if(Count == BPS_PARA)
  9. 097             Count <= 10'd0;
  10. 098         else
  11. 099             Count <= Count + 1'b1;
  12. 100     end
  13. 101     
  14. 102 //=====================================================
  15. 103 /*输出数据接收采样时钟*/
  16. 104     always @(posedge Clk or negedge Rst_n)
  17. 105     if(!Rst_n)
  18. 106         Sample_Clk <= 1'b0;
  19. 107     else if(Count== 1)
  20. 108         Sample_Clk <= 1'b1;
  21. 109     else
  22. 110         Sample_Clk <= 1'b0;
复制代码

这里,BPS_PARA的计算原理和前面Tx_Bps_Gen模块中的BPS_PARA的计算原理一致,不过这里,因为采样时钟为波特率时钟的9倍,所以,BPS_PARA为Tx_Bps_Gen模块中的BPS_PARA的1/9。计算BPS_PARA的相关代码如下:

  1. 018     parameter system_clk = 50_000_000;  /*输入时钟频率设定,默认50M*/
  2. 019
  3. 020 /*根据输入时钟频率计算生成各波特率时分频计数器的计数最大值*/   
  4. 021     localparam bps9600 = system_clk/9600/9 - 1;
  5. 022     localparam bps19200 = system_clk/19200/9 - 1;
  6. 023     localparam bps38400 = system_clk/38400/9 - 1;
  7. 024     localparam bps57600 = system_clk/57600/9 - 1;
  8. 025     localparam bps115200 = system_clk/115200/9 - 1;
  9. 026     localparam bps230400 = system_clk/230400/9 - 1;
  10. 027     localparam bps460800 = system_clk/460800/9 - 1;
  11. 028     localparam bps921600 = system_clk/921600/9 - 1;     
  12. 029     
  13. 030     reg [31:0]BPS_PARA;/*波特率分频计数器的计数最大值*/
  14. 031
  15. 032     always@(posedge Clk or negedge Rst_n)
  16. 033     if(!Rst_n)begin
  17. 034         BPS_PARA <= bps9600;    /*复位时波特率默认为9600bps*/
  18. 035     end
  19. 036     else begin
  20. 037         case(Baud_Set)  /*根据波特率控制信号选择不同的波特率计数器计数最大值*/
  21. 038             3'd0: BPS_PARA <= bps9600;
  22. 039             3'd1: BPS_PARA <= bps19200;
  23. 040             3'd2: BPS_PARA <= bps38400;
  24. 041             3'd3: BPS_PARA <= bps57600;
  25. 042             3'd4: BPS_PARA <= bps115200;
  26. 043             3'd5: BPS_PARA <= bps230400;
  27. 044             3'd6: BPS_PARA <= bps460800;
  28. 045             3'd7: BPS_PARA <= bps921600;            
  29. 046             default: BPS_PARA <= bps9600;/*异常情况,恢复到9600的波特率*/
  30. 047         endcase
  31. 048     end
复制代码

小梅哥
2015年4月8日 于至芯科技

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| 小梅哥 发表于 2015-4-9 11:44:01 | 显示全部楼层
基于ZX-2型FPGA开发板的串口示波器(一)
http://www.fpgaw.com/forum.php?m ... 0&fromuid=28191
(出处: fpga论坛|fpga设计论坛)


基于ZX-2型FPGA开发板的串口示波器(二)
http://www.fpgaw.com/forum.php?m ... 1&fromuid=28191
(出处: fpga论坛|fpga设计论坛)


基于ZX-2型FPGA开发板的串口示波器(三)
http://www.fpgaw.com/forum.php?m ... 2&fromuid=28191
(出处: fpga论坛|fpga设计论坛)


基于ZX-2型FPGA开发板的串口示波器(四)
http://www.fpgaw.com/forum.php?m ... 3&fromuid=28191
(出处: fpga论坛|fpga设计论坛)


基于ZX-2型FPGA开发板的串口示波器(五)
http://www.fpgaw.com/forum.php?m ... 4&fromuid=28191
(出处: fpga论坛|fpga设计论坛)


基于ZX-2型FPGA开发板的串口示波器(六)
http://www.fpgaw.com/forum.php?m ... 5&fromuid=28191
(出处: fpga论坛|fpga设计论坛)
 楼主| 小梅哥 发表于 2015-4-9 11:49:09 | 显示全部楼层
需要工程的请关注至芯官方微信号

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
telewuhun 发表于 2015-4-17 16:56:09 | 显示全部楼层
太牛逼了,值得学习啊
同人于郊 发表于 2015-8-1 16:35:34 | 显示全部楼层
感谢小梅哥的无私分享,小弟邮箱     759896891@qq.com    麻烦了
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-4 17:08 , Processed in 0.080888 second(s), 29 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表