小泡泡 发表于 2010-4-23 11:32:47

关于maxplus2的问题,高手进来

最近装了maxplus2 10.2,网上下的。不知道是不是少装了什么,用VHDL语言边程后,编译的时候总通不过,老是出现这样的字样:
TDF syntax error;Expected ASSERT,CONSTANT,DEFINE,DESIGN,FUNCTION,IF,OPTIONS,
PARMETERS,SUBDESIGN,or TITLE but found a symbolic name"library"
Error;Line 14,File e;\maxplus 2\behav.tdf;
TDF syntax error:Expected letter,digit,slash[/],dash[-],or underscore [ ]in quoted symbolic name
不管放什么程序进去编译都是类似的字样(行数有所改变),有时只出来前三行。
因本人做毕业设计用到这个软件,很急,请各位大侠指教!究竟是怎么了?程序应该没什么问题,我找的最简单的程序去试的

fpga_feixiang 发表于 2023-9-8 14:18:03

6                                    
页: [1]
查看完整版本: 关于maxplus2的问题,高手进来