集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 9611|回复: 1

关于maxplus2的问题,高手进来

[复制链接]
小泡泡 发表于 2010-4-23 11:32:47 | 显示全部楼层 |阅读模式
最近装了maxplus2 10.2,网上下的。不知道是不是少装了什么,用VHDL语言边程后,编译的时候总通不过,老是出现这样的字样:
TDF syntax error;Expected ASSERT,CONSTANT,DEFINE,DESIGN,FUNCTION,IF,OPTIONS,
PARMETERS,SUBDESIGN,or TITLE but found a symbolic name"library"
Error;Line 14,File e;\maxplus 2\behav.tdf;
TDF syntax error:Expected letter,digit,slash[/],dash[-],or underscore [ ]in quoted symbolic name
不管放什么程序进去编译都是类似的字样(行数有所改变),有时只出来前三行。
因本人做毕业设计用到这个软件,很急,请各位大侠指教!究竟是怎么了?程序应该没什么问题,我找的最简单的程序去试的
fpga_feixiang 发表于 2023-9-8 14:18:03 | 显示全部楼层
6                                    
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-7 02:30 , Processed in 0.068268 second(s), 19 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表