集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
收藏本版 (8) |订阅

FPGA技术交流 今日: 2 |主题: 12927|排名: 5 

作者 回复/查看 最后发表
预览 状态机的一位热码方式怎么定义,用VHDL vvt 2011-5-8 02427 vvt 2011-5-8 12:11
预览 谁有altera pcb封装库啊? vvt 2011-5-8 01798 vvt 2011-5-8 08:39
预览 怎么在12864上设置光标 按键能左右移动 vvt 2011-5-8 02050 vvt 2011-5-8 08:39
预览 请问xilinx spartan-6 的PCIe内核有人用过吗? vvt 2011-5-8 01858 vvt 2011-5-8 08:35
预览 新手急求!!!!!望高手给与解答,跪求,高手给指点迷津!!!!!!!!!!! 郝鑫 2011-5-7 01423 郝鑫 2011-5-7 19:58
预览 MPEG-2 TS 流嵌入控制数据的设计 求助 oprah 2011-5-7 02608 oprah 2011-5-7 16:55
预览 cyclone锁相环问题 zlangzl 2011-5-7 01496 zlangzl 2011-5-7 16:41
预览 【求教】在ise上怎样把xcf02的固件擦除掉? limike1987 2011-5-5 21923 limike1987 2011-5-7 15:40
预览 IP验证 lzh4774 2011-5-7 01324 lzh4774 2011-5-7 14:21
预览 发现一块好板子,给大家推荐一下。 attach_img q550984559 2011-5-7 01319 q550984559 2011-5-7 10:25
预览 小弟新人,求指教 lsjlay 2011-5-7 01328 lsjlay 2011-5-7 00:26
预览 急!求复数的取模运算源程序,跪求大神指导,小弟在此学习了! tFPGA 2011-5-6 02267 tFPGA 2011-5-6 22:28
预览 2fsk解调的程序 usd 2010-6-26 31890 至芯兴洪 2011-5-6 21:53
预览 谁有数字滤波器设计的资料 孤身漂泊 2010-10-30 93532 790247641 2011-5-6 21:25
预览 各位大虾,本人新手,想请各位推荐FPGA的经典书籍,谢谢了 睡睡贪睡 2011-5-5 11342 至芯兴洪 2011-5-6 21:15
预览 有谁用过突发传输模式的自定义外设 IPO 2011-5-4 11574 tonylzez 2011-5-6 16:58
预览 1024点.16位.基4FFT算法的VHDL程序 UFP 2010-6-28 52656 咱家烩面牛 2011-5-6 11:10
预览 modelsim 6.4a能在windows7上运行,我怎么破解不了呀 ccs 2011-3-13 32542 bridge51 2011-5-6 09:44
预览 FPGA vhdl post-route 仿真问题 lookahead 2011-5-6 01348 lookahead 2011-5-6 07:43
预览 请教各位大侠有没有用过硬件8B10B编解码芯片!请指点一下,万分感激! IPO 2011-5-5 21526 njithjw 2011-5-5 22:25
预览 新手急求!!!!!望高手给与解答,跪求,高手给指点迷津!!!!!!!!!!! 郝鑫 2011-5-5 11407 njithjw 2011-5-5 22:19
预览 求解!急~ caocao 2011-5-5 13083 njithjw 2011-5-5 22:17
预览 在quartus中如何将原理图转换成Verilog? 悟禅的行者 2011-5-3 13565 悟禅的行者 2011-5-5 17:57
预览 2dpsk解调程序 xiaoxin0820 2011-5-4 01645 xiaoxin0820 2011-5-4 20:17
预览 新手急求!!!!!望高手给与解答 郝鑫 2011-5-4 01135 郝鑫 2011-5-4 20:01
预览 求 USB2.0控制器的 VHDL源程序代码!爱你一万年! a309520 2011-5-4 31716 admin 2011-5-4 16:48
预览 请问下如何用xilinx做开N次方 jellyfishpp 2011-4-24 11600 zhouhuaguo 2011-5-4 14:11
预览 verilog语言怎么在quartus里打包呀 vvt 2011-5-4 01754 vvt 2011-5-4 14:06
预览 Quartus2仿真 一般什么情况用时序仿真,什么情况换为功能仿真?求解读,3Q supercgai 2011-5-4 01408 supercgai 2011-5-4 13:43
预览 怎么把那个QUARTUS8.0里的输入VHDL字有改字体的编辑吗? IPO 2011-5-4 01493 IPO 2011-5-4 05:04
预览 我要设计一个运算速率大于200M的浮点数乘法器,各位有什么建议和看法啊? IPO 2011-5-4 01335 IPO 2011-5-4 05:03
预览 瑞雪嵌入式软硬件团队为您提供专业外包研发服务 ruixuegufen 2011-5-3 01408 ruixuegufen 2011-5-3 19:52
预览 高度集中教学-实际项目开发,单片机培训深圳嵌入式培训linux培训 educn 2011-5-3 01236 educn 2011-5-3 18:43
预览 求助~关于用Xilinx进行功能仿真 attachment cabbagelala 2011-5-3 01910 cabbagelala 2011-5-3 16:44
预览 救急 zzzdaizi 2011-5-3 11489 huhao813 2011-5-3 16:33
预览 小弟新手,做了几个简单的实验,跑马灯和数字秒表,在板子上跑起来了。 vvt 2010-8-2 42135 xjking 2011-5-3 16:31
预览 我写一个状态机,两段式,在其中的一个状态内,需要多个时钟周期才能执行完 ccs 2011-5-3 22803 vvt 2011-5-3 12:00
预览 怎么把双向IO变成输入端啊 ccs 2011-5-3 11263 ccs 2011-5-3 11:35
预览 利用FPGA实现精确的开方怎么做啊? ccs 2011-5-3 01366 ccs 2011-5-3 11:33
预览 请问哪有卖 mimo-ofdm硬件平台的 ccs 2011-5-3 01264 ccs 2011-5-3 11:32
下一页 »

快速发帖

还可输入 80 个字符
您需要登录后才可以发帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-4-19 23:41 , Processed in 0.067373 second(s), 16 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

返回顶部 返回版块