集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
收藏本版 (8) |订阅

FPGA技术交流 今日: 1 |主题: 12939|排名: 7 

作者 回复/查看 最后发表
预览 Quartus II中状态机的编写规则 weibode01 2010-11-9 02796 weibode01 2010-11-9 11:51
预览 基于FPGA的具有数字显示的水温测控系统 attach_img weibode01 2010-11-9 01318 weibode01 2010-11-9 11:42
预览 FPGA单芯片四核二乘二取二的安全系统 attach_img weibode01 2010-11-9 01304 weibode01 2010-11-9 11:39
预览 请问高手,怎样学习FPGA 清霜一梦 2010-5-3 62818 weibode01 2010-11-9 11:17
预览 基于FPGA verilog hdl 设计的QPSK调制与解调 dove0309 2010-5-21 22231 weibode01 2010-11-9 11:16
预览 分布式算法fir滤波器问题,望高手给指点一下 airaing 2010-5-26 21713 weibode01 2010-11-9 11:16
预览 状态机举例-Verilog HDL 代码 老怪甲 2010-5-28 11907 weibode01 2010-11-9 11:14
预览 当无时钟脉冲时,控制器就输出为“0”,只要一个脉冲触发它,则它的输出就是“1 529038792 2010-5-21 21675 weibode01 2010-11-9 11:13
预览 如果两个if语句顺序执行的话 会出现什么情况呢? wang 2010-5-25 32449 weibode01 2010-11-9 11:11
预览 二进制到格雷码转换 Verilog代码 老怪甲 2010-5-28 11980 weibode01 2010-11-9 11:10
预览 wire能在定义的同时赋值吗? ccs 2010-5-24 52793 weibode01 2010-11-9 11:09
预览 请问各位verilog:运算精度为17比特,其中符号位1比特,整数部分7比特,小数部分9比特 vvt 2010-5-24 32800 weibode01 2010-11-9 11:07
预览 雷达恒虚警算法的verilong实现 小泡泡 2010-4-20 32119 weibode01 2010-11-9 11:07
预览 Verilog-XL是什么工具? I2C 2010-4-9 63214 weibode01 2010-11-9 11:05
预览 关于 verilog 的用法 老怪甲 2010-4-24 31954 weibode01 2010-11-9 11:03
预览 关于3位8421BCD计数器的VHDL描述问题 小泡泡 2010-4-23 11704 weibode01 2010-11-9 11:02
预览 二进制转BCD码的VHDL程序 小泡泡 2010-4-23 33095 weibode01 2010-11-9 11:01
预览 VHDL中Loop动态条件的可综合转化 I2C 2010-4-9 11977 weibode01 2010-11-9 11:01
预览 大家用signaltap的时候是能找到USB-Blaster,但是找不到Device是什么原因啊? fpga 2010-4-9 12732 weibode01 2010-11-9 11:00
预览 谁知道VERILOG除了用来写FPGA代码外,还有别的应用么?应用到别的领域或者别的器件 vvt 2010-10-20 21522 weibode01 2010-11-9 11:00
预览 verilog程序中可以充许有多个always模块是吗??这些模块是并行的吗 IPO 2010-10-25 32984 weibode01 2010-11-9 10:59
预览 点亮一个led的程序怎么写? IPO 2010-10-20 42385 weibode01 2010-11-9 10:59
预览 FPGA控制触摸屏调试程序 attachment 114369623 2010-10-20 31894 weibode01 2010-11-9 10:56
预览 怎么用verilog语言产生三位二进制随机数???? 竹林听雨早晨 2010-11-5 32368 weibode01 2010-11-9 10:55
预览 有买FPGA开发板的都进来看看吧 fldg 2010-11-8 11431 weibode01 2010-11-8 18:59
预览 verilog乘法器和其测试程序 CHANG 2010-6-28 82648 weibode01 2010-11-8 18:58
预览 大侠们 怎么设计五进制加法器啊?跪求 前世的尘 2010-11-8 11400 weibode01 2010-11-8 18:57
预览 Verilog设计问题 Actionnow 2010-7-31 66310 weibode01 2010-11-8 18:34
预览 如何烧写 FGPA啊 guanguan 2010-11-1 32084 jzphome 2010-11-8 16:28
预览 谁有uc/FS的源码啊 IPO 2010-11-8 01312 IPO 2010-11-8 14:40
预览 基于CPLD器件设计的单稳态电路 attach_img weibode01 2010-11-8 11498 weibode01 2010-11-8 13:49
预览 基于多DSP和FPGA的实时双模视频跟踪装置设计 attach_img weibode01 2010-11-8 11366 weibode01 2010-11-8 13:48
预览 Libero软件的使用 weibode01 2010-11-8 01614 weibode01 2010-11-8 13:40
预览 初学者菜鸟求救求救~~~~ attach_img gameson131 2010-11-7 21600 weibode01 2010-11-8 11:16
预览 Altera Timequest问题,用时钟的上下沿分别采数据,约束怎么写呢?谢谢 IPO 2010-11-1 13294 njithjw 2010-11-6 22:35
预览 谁能告诉我在modesim里面,我的程序和测试程序都编译好了,但是在信号的列表没有 vvt 2010-11-1 42309 njithjw 2010-11-6 22:30
预览 initial 模块在波形仿真中怎么不起作用呢? CHAN 2010-6-26 52668 790024589 2010-11-6 15:25
预览 求助,我是入门级别 guojun 2010-11-5 31572 guojun 2010-11-6 11:07
预览 求教 黑色北极星 2010-11-6 11320 weibode01 2010-11-6 09:21
预览 从事哪个行业的FPGA好? zhouliang 2010-11-5 31786 zhouliang 2010-11-5 16:50
下一页 »

快速发帖

还可输入 80 个字符
您需要登录后才可以发帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-4 20:06 , Processed in 0.074630 second(s), 16 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

返回顶部 返回版块