集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
收藏本版 (8) |订阅

FPGA技术交流 今日: 1 |主题: 12939|排名: 7 

作者 回复/查看 最后发表
预览 解释一下,滤波器输出延时4个左右时钟的优点? vvt 2010-11-22 11349 vvt 2010-11-22 19:16
预览 我一块板子上的两个tlk2501之间传输正常 到了两块板子上就不正常了。。。 IPO 2010-11-22 01386 IPO 2010-11-22 15:05
预览 FPGA 调用ip核实现FFT,其中scale schedule是什么意思? IPO 2010-11-22 02182 IPO 2010-11-22 15:05
预览 Altera 的IP核收费.... IPO 2010-11-22 01487 IPO 2010-11-22 15:04
预览 有谁知道 那个综合软件 可以综合systemC吗 IPO 2010-11-22 01391 IPO 2010-11-22 15:03
预览 请问“Custom Files”在ISE软件平台应该翻译成什么 IPO 2010-11-22 01390 IPO 2010-11-22 12:13
预览 基于FPGA的数字式心率计 attach_img weibode01 2010-11-22 01235 weibode01 2010-11-22 11:23
预览 降低FPGA设计的功耗是一种协调和平衡艺术 weibode01 2010-11-22 01415 weibode01 2010-11-22 11:21
预览 将射频和混合信号IP集成到SoC所面临的挑战 (二) attach_img weibode01 2010-11-22 01278 weibode01 2010-11-22 11:16
预览 将射频和混合信号IP集成到SoC所面临的挑战 (一) attach_img weibode01 2010-11-22 01122 weibode01 2010-11-22 11:15
预览 FPGA中如何将一个PIO口设置成复位功能引脚(reset)? 430 2010-11-16 22035 weibode01 2010-11-22 11:13
预览 写了一个用74HC163为底层元件的19进制计数器 帮忙看看哪有问题啊??? zzx123xxz321 2010-11-20 11533 weibode01 2010-11-22 11:02
预览 FPGA设计的四种常用思想与技巧,帮你成为FPGA设计高手! camaii 2010-11-11 42551 yoandi 2010-11-21 11:13
预览 大唐电信FPGA笔记 attachment lovelyboywyl 2010-11-21 01377 lovelyboywyl 2010-11-21 10:18
预览 请问,编译时出现叹号,为什么还能到下载程序的环节呢? ccs 2010-11-21 01827 ccs 2010-11-21 09:39
预览 请问有人会用Design Compiler吗? ccs 2010-11-21 01702 ccs 2010-11-21 09:39
预览 求助,Quartus2可以使用哪个版本的modelsim啊 guojun 2010-11-20 21546 guojun 2010-11-21 09:05
预览 Actel推出最新硬件编程器FlashPro4 attach_img weibode01 2010-11-20 01265 weibode01 2010-11-20 13:30
预览 提高同步系统的运行速度 attach_img weibode01 2010-11-20 01178 weibode01 2010-11-20 13:25
预览 如何使用Synpilfy9.6.2进行综合和布局布线。详细步骤 limao090407 2010-11-20 01358 limao090407 2010-11-20 12:04
预览 Quartus II 9.0中怎么旋转一个元器件 IPO 2010-11-14 36597 limao090407 2010-11-20 12:02
预览 请教ALtera 系列在设计时各个引脚的符号和形状各有什么意义,在quartus ii里面如图 attach_img luipingwei 2010-11-19 21971 luipingwei 2010-11-20 10:56
预览 介绍关于fifo的文档 attachment lovelyboywyl 2010-11-20 01588 lovelyboywyl 2010-11-20 10:44
预览 怎么样把图片变成数值,用串口发送啊?? vvt 2010-11-18 31796 lovelyboywyl 2010-11-20 10:40
预览 【FPGA设计实例】简单时序逻辑电路的设计 lovelyboywyl 2010-11-20 11290 lovelyboywyl 2010-11-20 10:38
预览 【从零开始FPGA】FPGA的应用 lovelyboywyl 2010-11-20 11875 lovelyboywyl 2010-11-20 10:37
预览 【从零开始FPGA】Xilinx FPGA lovelyboywyl 2010-11-20 11535 lovelyboywyl 2010-11-20 10:31
预览 【FPGA设计实例】简单的组合逻辑设计 lovelyboywyl 2010-11-20 11383 lovelyboywyl 2010-11-20 10:23
预览 【从零开始FPGA】FPGA设计注意事项 lovelyboywyl 2010-11-20 21480 lovelyboywyl 2010-11-20 10:09
预览 ise 安装配置分析 IPO 2010-11-20 32117 IPO 2010-11-20 05:22
预览 ise的乘法器的latency是怎样设置的??通常是用那个lib的乘法器?? IPO 2010-11-20 12029 IPO 2010-11-20 04:46
预览 ise的乘法器延迟多少个时钟 IPO 2010-11-20 01714 IPO 2010-11-20 04:45
预览 ISE学习的文章 IPO 2010-11-20 02166 IPO 2010-11-20 04:32
预览 如何改善FPGA接口时序性能? vvt 2010-11-16 73453 weibode01 2010-11-20 00:41
预览 quartus10吃螃蟹是要付出代价地 vvt 2010-11-16 42184 weibode01 2010-11-20 00:40
预览 球verilog高手帮忙,我的程序怎么结果不对呀??? attach_img  ...2 竹林听雨早晨 2010-11-13 145607 weibode01 2010-11-20 00:36
预览 RAM怎样存数据 流浪在此 2010-9-9 41856 wangminjie18 2010-11-19 22:28
预览 生成的IP core怎么加到工程里去? ccs 2010-11-16 32672 wangminjie18 2010-11-19 22:17
预览 cyclone2 系列fpga的配置方法除了ps,as,jtag,还有没有了 vvt 2010-11-19 32013 vvt 2010-11-19 16:59
预览 Altera EP2C5汽车电子图像系统设计 attach_img weibode01 2010-11-19 01128 weibode01 2010-11-19 15:50
下一页 »

快速发帖

还可输入 80 个字符
您需要登录后才可以发帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-4 23:08 , Processed in 0.068908 second(s), 16 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

返回顶部 返回版块