集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 2508|回复: 1

一简单vhdl程序问题,求高手指教

[复制链接]
小泡泡 发表于 2010-4-23 11:35:19 | 显示全部楼层 |阅读模式
本帖最后由 fpgaw 于 2010-10-29 09:38 编辑

这是我写的程序,想让它实现单稳态触发功能,但是变异不了,好像陷入了死循环 望高手指教! 谢谢
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity tou is

port(
  -- Input ports
  clk: in  STD_LOGIC;
  intou: in  STD_LOGIC;
  -- Output ports
  otou: out STD_LOGIC
);

     end entity;
architecture tou_1 of tou is
    begin
p1rocess (intou)
variable counter : integer range 0 to 200;
begin
otou<='1';
while (counter<100) loop
  if(clk'event and clk='1') then
  counter :=counter+1;
  end if;
end loop;
counter :=0;
otou<='0';
end process p1;
end tou_1;
fpga_feixiang 发表于 2023-9-8 14:18:50 | 显示全部楼层
6                           
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-7 09:34 , Processed in 0.071300 second(s), 23 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表