集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 2905|回复: 6

verilog语言中,可以在不同的always语句里面写同一个状态吗?

[复制链接]
CPLD 发表于 2011-4-14 10:26:54 | 显示全部楼层 |阅读模式
verilog语言中,可以在不同的always语句里面写同一个状态吗?
 楼主| CPLD 发表于 2011-4-14 10:27:19 | 显示全部楼层
不可以不可以
 楼主| CPLD 发表于 2011-4-14 14:02:12 | 显示全部楼层
那不成异步了么
lst1126 发表于 2011-4-14 16:27:14 | 显示全部楼层
不可以,会说有多个源
哦十全_至芯学员 发表于 2011-4-17 22:56:01 | 显示全部楼层
不行的,不能在不同的always块中对同一个变量赋值。状态state也是一个变量,所以不能在不同的always语句里面写同一个状态。
TT_TT 发表于 2011-4-27 11:12:29 | 显示全部楼层
不行的,不能在不同的always块中对同一个变量赋值。状态state也是一个变量,所以不能在不同的always语句里面 ...
哦十全_至芯学员 发表于 2011-4-17 22:56



    +1
wangjinzeng 发表于 2011-6-2 16:29:55 | 显示全部楼层
状态state的输出只允许一个always块,但可以允许其他always块调用它,但不能修改。也就是只读不写
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-6 09:37 , Processed in 0.071792 second(s), 24 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表