集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 2544|回复: 1

关于VHDL组件的几个问题

[复制链接]
小泡泡 发表于 2010-4-23 12:02:23 | 显示全部楼层 |阅读模式
在下有一个关于组件的小程序.但不知道为什么总是编译有错误.
在下认为可能是库里面没有这个组件.但不知道怎么对不对.
请高人指点

library IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
--************************************
ENTITY VHDl2 is
port( A: in STD_LOGIC;
B: in STD_LOGIC;
C: in STD_LOGIC;
Z: out STD_LOGIC
);
END VHDL2;
--************************************


ARCHITECTURE VHDL2_E OF VHDL2 is
SIGNAL D,E : STD_LOGIC;
component INV port(I,H: in STD_LOGIC; O: out STD_LOGIC);END component;
component AND2 port(I0,H0: in STD_LOGIC; O: out STD_LOGIC);END component;
component OR2 port(I1,H1: in STD_LOGIC; O: out STD_LOGIC);END component;
BEGIN
U1: AND2 port map (A,B,D);
U2: INV port map(B,E);
U3: or2 port map(D,E,Z);

end VHDL2_E;
fpga_feixiang 发表于 2023-8-16 14:07:51 | 显示全部楼层
6                           
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-4-29 20:42 , Processed in 0.062160 second(s), 19 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表