集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 2011|回复: 1

进位加法器代码

[复制链接]
fpga_feixiang 发表于 2017-9-15 14:52:06 | 显示全部楼层 |阅读模式
`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date:    17:57:44 10/08/2007
// Design Name:
// Module Name:    adder8
// Project Name:
// Target Devices:
// Tool versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////
module adder8 (cout ,sum ,clk ,cina ,cinb ,cin);
input [7 :0 ]cina ,cinb;
input clk ,cin;
output [7 :0 ] sum;
output cout;

reg[7 :0 ]sum;
reg cout ;

always @(posedge clk) begin // 时钟上升沿有效;
{cout ,sum} = cina + cinb + cin ; // 8 位相加;
end

endmodule

4798345 发表于 2017-9-18 11:13:09 | 显示全部楼层
感谢楼主辛勤分享
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-4-26 04:22 , Processed in 0.061011 second(s), 19 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表