集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 2150|回复: 2

ISE中,例化IP核时,老是提示警告

[复制链接]
IPO 发表于 2011-5-4 05:06:34 | 显示全部楼层 |阅读模式
ISE中,例化IP核时,老是提示警告:WARNING:Xst:2211 - "mul4.v" line 780: Instantiating black box module <mul4>.
怎么解决啊?
syai02 发表于 2011-6-21 16:35:17 | 显示全部楼层
同问,不知道楼主解决了没有!
domerpol 发表于 2011-6-28 09:20:41 | 显示全部楼层
我也遇到过,但是没有理会,谁来解答一下哈
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-26 12:31 , Processed in 0.071353 second(s), 24 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表