集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 2307|回复: 7

Altera推荐的有符号的乘法器写法

[复制链接]
陈飞龙 发表于 2017-10-24 15:41:49 | 显示全部楼层 |阅读模式
Verilog HDL Signed Multiplier with Input and Output Registers (Pipelining = 2)

module signed_mult (out, clk, a, b);
output [15:0] out;
input clk;
input signed [7:0] a;
input signed [7:0] b;
reg signed [7:0] a_reg;
reg signed [7:0] b_reg;
reg signed [15:0] out;
wire signed [15:0] mult_out;
assign mult_out = a_reg * b_reg;
always @ (posedge clk)
begin
a_reg <= a;
b_reg <= b;
out <= mult_out;
end
endmodule
 楼主| 陈飞龙 发表于 2017-10-24 15:42:56 | 显示全部楼层
8位乘法器的代码
zhangyukun 发表于 2017-10-25 09:22:56 | 显示全部楼层
Altera推荐的有符号的乘法器写法
zhangyukun 发表于 2018-2-6 09:14:18 | 显示全部楼层
Altera推荐的有符号的乘法器写法
晓灰灰 发表于 2018-2-6 10:08:47 | 显示全部楼层
Altera推荐的有符号的乘法器写法
zhangyukun 发表于 2018-2-8 09:06:31 | 显示全部楼层
Altera推荐的有符号的乘法器写法
ucx 发表于 2018-2-9 10:13:55 | 显示全部楼层
VHDL写法:
Process(clock) begin
  if rising_edge(clock) then
    product <= signed(a) * signed(b);
  end if;
End process;
hst_zxfpga 发表于 2018-9-6 13:46:20 | 显示全部楼层
乘法器可以这样直接写吗?有没有自己设计过乘法器的同学啊?
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-4-24 17:46 , Processed in 0.071187 second(s), 20 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表