集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1925|回复: 5

VHDL中 :=与 =>使用区别

[复制链接]
fpga_feixiang 发表于 2017-11-20 10:07:31 | 显示全部楼层 |阅读模式
:=是变量赋值操作符,<=是信号赋值操作符。另外,在给信号、变量赋仿真初值和给常量赋值时,也用":="来赋值。
晓灰灰 发表于 2017-11-20 15:28:52 | 显示全部楼层
VHDL中 :=与 =>使用区别
芙蓉王 发表于 2017-11-20 15:55:25 | 显示全部楼层
VHDL中 :=与 =>使用区别
zhangyukun 发表于 2017-11-21 09:26:10 | 显示全部楼层
VHDL中 :=与 =>使用区别
大鹏 发表于 2022-6-22 14:51:19 | 显示全部楼层
VHDL中 :=与 =>使用区别
zxopenhl 发表于 2022-6-23 16:53:19 | 显示全部楼层
VHDL中 :=与 =>使用区别
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-8 10:49 , Processed in 0.074761 second(s), 19 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表