请选择 进入手机版 | 继续访问电脑版

集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 3753|回复: 5

vhdl里<=和:=的区别

[复制链接]
fpga_feixiang 发表于 2017-12-4 10:18:34 | 显示全部楼层 |阅读模式
<=是对信号进行赋值的赋值操作符,另外在关系运算中作为小于等于号使用;:=是对变量和常量进行赋值的赋值操作符,另外在声明信号、变量、常量的时候,可以用:=对其赋初值。
zxopenhl 发表于 2017-12-7 09:29:05 | 显示全部楼层
阻塞赋值与非阻塞赋值的区别!
大鹏 发表于 2018-4-9 09:22:15 | 显示全部楼层

<=是对信号进行赋值的赋值操作符,另外在关系运算中作为小于等于号使用;:=是对变量和常量进行赋值的赋值操作符,另外在声明信号、变量、常量的时候,可以用:=对其赋初值。
Sunlife 发表于 2018-9-21 16:34:45 | 显示全部楼层
                     学习了
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-3-29 06:12 , Processed in 0.078258 second(s), 19 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表