集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1735|回复: 4

FPGA时钟

[复制链接]
原子弹 发表于 2017-12-14 09:48:57 | 显示全部楼层 |阅读模式
当今复杂的 FPGA 含有众多用于实现各种电路与系统的功能块,诸如逻辑阵列、存储器、DSP 模块、处理器、用于时序生成的锁相环 (PLL) 和延迟锁定环 (DLL)、标准 I/O、高速数字收发器以及并行接口(PCI、DDR 等)。这些不同的功能块通常由多个时钟驱动,FPGA 一般会综合采用外部振荡器以及内部 PLL 与 DLL来生成时钟。系统设计人员必须决定如何综合使用外部与内部资源来实现最佳的时钟树设计。而可编程时钟振荡器用作 FPGA 系统的时序参考,可提供一系列优势。其中首要优势是为了实现时钟树优化而进行高分辨率频率选择时所带来的设计灵活性。另一个巨大优势是具有可以减少电磁干扰 (EMI) 的扩频调制功能。
 楼主| 原子弹 发表于 2017-12-14 09:49:20 | 显示全部楼层
FPGA时钟应用!!!
大鹏 发表于 2017-12-19 09:28:59 | 显示全部楼层
给你个赞吧
zxopenljx 发表于 2020-10-12 17:08:03 | 显示全部楼层
FPGA时钟FPGA时钟
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-4-26 12:00 , Processed in 0.065324 second(s), 19 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表