集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1693|回复: 4

用VERLOG语言设计一个反馈型移位寄存器产生100111的序列求大神给力!

[复制链接]
zhang2045 发表于 2011-5-15 10:46:48 | 显示全部楼层 |阅读模式
原理图如下。希望大神帮忙!

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
至芯兴洪 发表于 2011-5-15 14:03:31 | 显示全部楼层
反馈的条件是什么,有什么作用?
 楼主| zhang2045 发表于 2011-5-19 00:14:00 | 显示全部楼层
回复 2# 至芯兴洪

在Q0 -Q3输出。然后通过反馈的网络 既SL=~(~(~Q0*Q3)*Q2) 将得到的数列向左移一位。然后再反馈。最终得到100111
至芯兴洪 发表于 2011-5-21 19:29:29 | 显示全部楼层
Q=[SL,Q[3:1]];你看是不是这样输出Q的
伯尼 发表于 2011-5-25 21:25:06 | 显示全部楼层
本帖最后由 伯尼 于 2011-5-25 21:26 编辑

参考一下,三位M序列发生器
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity mseq_sm is
        generic(x:integer:=3);
        port(
                clk:in std_logic;
                q: out std_logic_vector(x-1 downto 0)
                );
        end mseq_sm ;
architecture rt1 of mseq_sm is
        signal reg:std_logic_vector(x-1 downto 0);
        begin
        process(clk)
        begin
                if clk'event and clk='1'then
                        if reg<="000" then
                                reg<="001";
                        else
                                reg<=((reg(0)xor reg(1)) & reg(x-1 downto 1));
                        end if;
                       
                end if;
        end process;
        q<=reg;
end rt1;
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-20 04:04 , Processed in 0.098494 second(s), 21 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表