集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1290|回复: 2

盘点Vivado设计套件版本的支持性第三方仿真器

[复制链接]
zxopenhl 发表于 2018-4-3 10:20:47 | 显示全部楼层 |阅读模式
本文列出了能够与 Vivado 设计套件联用的支持性第三方仿真器。

这些也在随该软件一起发布的“Vivado 设计套件用户指南:版本说明、安装与许可”(UG973) 中列出。

请参阅“架构支持与需求”>“兼容的第三方工具”部分。

这些兼容版本向后兼容。Xilinx 建议用户运行最新版本的仿真器。

Vivado Design Suite 2017.2

Mentor Graphics ModelSim SE/DE/PE (10.5c)
Mentor Graphics Questa 高级仿真器 (10.5c)
Cadence Incisive 企业仿真器 (IES) (15.20.014)
Synopsys VCS 与 VCS MX (L-2016.06-SP1)
Aldec Active-HDL (10.4) Aldec Riviera-PRO (2016.10)
Vivado Design Suite 2017.1

Mentor Graphics ModelSim SE/DE/PE (10.5c)
Mentor Graphics Questa 高级仿真器 (10.5c)
Cadence Incisive 企业仿真器 (IES) (15.20.014)
Synopsys VCS 与 VCS MX (L-2016.06-SP1)
Aldec Active-HDL (10.4) Aldec Riviera-PRO (2016.10)
Vivado Design Suite 2016.4

Mentor Graphics ModelSim SE/DE/PE (10.5c)
Mentor Graphics Questa 高级仿真器 (10.5c)
Cadence Incisive 企业仿真器 (IES) (15.20.005)
Synopsys VCS 与 VCS MX (L-2016.06)
Aldec Active-HDL (10.3) Aldec Riviera-PRO (2016.06)
Vivado Design Suite 2016.3

Mentor Graphics ModelSim SE/DE/PE (10.5c)
Mentor Graphics Questa 高级仿真器 (10.5c)
Cadence Incisive 企业仿真器 (IES) (15.20.005)
Synopsys VCS 与 VCS MX (L-2016.06)
Aldec Active-HDL (10.3)Aldec Riviera-PRO (2016.06)
Vivado Design Suite 2016.2, 2016.1

Mentor Graphics ModelSim SE/DE/PE (10.4d)
Mentor Graphics Questa 高级仿真器 (10.4d)
Cadence Incisive 企业仿真器 (IES) (15.10.013)
Synopsys VCS 与 VCS MX (K-2015.09)
Aldec Active-HDL (10.3) Aldec Riviera-PRO (2015.10)
Vivado Design Suite 2015.4, 2015.3

Mentor Graphics ModelSim SE/DE/PE (10.4b)
Mentor Graphics Questa 高级仿真器 (10.4b)
Cadence Incisive 企业仿真器 (IES) (14.20.006)
Synopsys VCS 与 VCS MX (J-2014.12-SP2)
Aldec Active-HDL (10.2 SP2) Aldec Riviera-PRO (2015.06)
Vivado Design Suite 2015.2, 2015.1

Mentor Graphics ModelSim SE/DE/PE (10.3d)
Mentor Graphics Questa 高级仿真器 (10.3d)
Cadence Incisive 企业仿真器 (IES) (14.10.011)
Synopsys VCS 与 VCS MX (I-2014.03-SP1)
Aldec Active-HDL (10.1 SP1) Aldec Riviera-PRO (2015.02)
Vivado Design Suite 2014.4

Mentor Graphics ModelSim SE/DE/PE (10.3b)
Mentor Graphics Questa 高级仿真器 (10.3b)
Cadence Incisive 企业仿真器 (IES) (13.20.005)
Synopsys VCS 与 VCS MX (I-2014.03)
Aldec Active-HDL (9.3 SP1)Aldec Riviera-PRO (2014.02)
Vivado Design Suite 2014.3

Mentor Graphics ModelSim SE/DE/PE (10.3b)
Mentor Graphics Questa 高级仿真器 (10.3b)
Cadence Incisive 企业仿真器 (IES) (13.20.005)
Synopsys VCS 与 VCS MX (I-2014.03)
Aldec Active-HDL (9.2 SP1) Aldec Riviera-PRO (2014.02)
Vivado Design Suite 2014.2, 2014.1

Mentor Graphics ModelSim SE/DE/PE (10.2a)
Mentor Graphics Questa 高级仿真器 (10.2a)
Cadence Incisive 企业仿真器 (IES) (12.2-016)
Synopsys VCS 与 VCS MX (H-2013.06-SP1)
Aldec Active-HDL (9.3 SP1) Aldec Riviera-PRO (2014.02)
Vivado Design Suite 2013.4

Mentor Graphics ModelSim SE/DE/PE (10.2a)
Mentor Graphics Questa 高级仿真器 (10.2a)
Cadence Incisive 企业仿真器 (IES) (12.2-016)
Synopsys VCS 与 VCS MX (H-2013.06)
Aldec Active-HDL (9.2 SP1) Aldec Riviera-PRO (2013.06)
Vivado Design Suite 2013.3

Mentor Graphics ModelSim SE/DE/PE (10.2a)
Mentor Graphics Questa 高级仿真器 (10.2a)
Cadence Incisive 企业仿真器 (IES) (12.2-016)
Synopsys VCS 与 VCS MX (H-2013.06-3)
Aldec Active-HDL (9.2) Aldec Riviera-PRO (2013.02)
Vivado Design Suite 2013.1, 2013.2

Mentor Graphics ModelSim SE/DE/PE (10.1b)
Mentor Graphics Questa 高级仿真器 (10.1b)
Cadence Incisive 企业仿真器 (IES) (12.2)
Synopsys VCS 与 VCS MX (G-2012.09)
Aldec Active-HDL (9.2) Aldec Riviera-PRO (2012.10)
zhangyukun 发表于 2018-4-4 09:07:24 | 显示全部楼层
盘点Vivado设计套件版本的支持性第三方仿真器
晓灰灰 发表于 2018-4-4 10:48:43 | 显示全部楼层
盘点Vivado设计套件版本的支持性第三方仿真器
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-4-26 11:18 , Processed in 0.089130 second(s), 19 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表