集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1041|回复: 1

问一下关于modelsim仿真怎么产生相位相差90度的方波

[复制链接]
woshilaoshuai 发表于 2018-4-13 08:57:21 | 显示全部楼层 |阅读模式
本人新手,在写采集光电编码器的脉冲信号的模块,我代码综合完了,准备用modelsim仿真一下,但突然一想不知道激励块中怎么用always和initial产生相位相差90度的方波,求助一下
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-4-25 22:46 , Processed in 0.084829 second(s), 23 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表