集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 4380|回复: 10

vivado中各个文件的含义

[复制链接]
晓灰灰 发表于 2018-5-8 10:16:47 | 显示全部楼层 |阅读模式
      在Xilinx ISE中不同的操作都有不同的文件类型对应,例如综合、布局、布线、生成比特流等都会产生特定格式的文件,在vivado中也是一样,只不过在vivado中,文件的格式相比于ISE中更加同一。

    1,.dcp文件,在ise中每个过程都会产生特定格式的文件,例如.ncd, .pcf, .ngd等等,但是在vivado中,不论是综合还是布局布线都只会产生一种格式的文件,即.dcp文件,每个阶段的.dcp文件都是下一阶段的输入文件,.dcp文件实际上包含了对应阶段处理的信息,用vivado可以直接打开,File->Open Checkpoint.

    .dcp文件主要包括Physical Constraints, Device Constraints, Netlists以及device的信息
       

   从这可以看出其实.dcp文件就是ise中的网表文件和约束文件的集合,只不过在vivado中被集合在了一个文件里。

    2,.xdc文件,这个是vivado的约束文件,vivado的约束文件和ise中的约束文件.ucf或者.pcf相比有很大不同,.xdc中的约束文件其实就是一系列的tcl语句,所以对于vivado中的约束文件,可以作为一个源文件放在工程里,在综合和布局布线中调用;也可以在tcl console中输入,立即执行。.xdc文件的内容大致如下所示:
       
    都是一些tcl语句的集合,在tcl console中直接输入这些语句也是没有问题的。

    3,.xci文件,这是定制ip产生的文件,里面包含了定制的ip核的所有信息,可以通过这个文件产生需要的ip核,作用和.dcp文件差不多。ip核中也有.dcp文件,关于选择.xci文件还是.dcp文件,在vivado中的ip定制中会总结。

    4,.rpt文件,这个是每个过程结束输出的一个report文件,用来记录各个过程中的一些信息,和ise中的多种输出文件格式相比,这样的统一格式显然更好一点。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
zhangyukun 发表于 2018-5-9 10:24:47 | 显示全部楼层
vivado中各个文件的含义
 楼主| 晓灰灰 发表于 2018-5-9 12:15:11 | 显示全部楼层
vivado中各个文件的含义
 楼主| 晓灰灰 发表于 2018-5-11 14:21:45 | 显示全部楼层
vivado中各个文件的含义
 楼主| 晓灰灰 发表于 2018-5-14 11:04:59 | 显示全部楼层
vivado中各个文件的含义
zxopenhl 发表于 2018-5-18 17:59:11 | 显示全部楼层
vivado中各个文件的含义
Sunlife 发表于 2018-5-18 18:22:43 | 显示全部楼层
.xci文件,这是定制ip产生的文件,里面包含了定制的ip核的所有信息,可以通过这个文件产生需要的ip核,作用和.dcp文件差不多。ip核中也有.dcp文件,关于选择.xci文件还是.dcp文件,在vivado中的ip定制中会总结。
 楼主| 晓灰灰 发表于 2018-5-19 10:05:47 | 显示全部楼层
11111111111
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-4-25 17:41 , Processed in 0.085850 second(s), 20 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表