集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1243|回复: 0

求路过大侠解答~~~~

[复制链接]
chenhaoyumax 发表于 2011-5-18 16:15:21 | 显示全部楼层 |阅读模式
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
USE IEEE.std_logic_arith.ALL;
USE IEEE.std_logic_signed.ALL;

ENTITY key_sync IS
       PORT (key_in     :  IN   std_logic;
             clk        :  IN   std_logic;
             key_out    :  OUT  std_logic);
END key_sync;

ARCHITECTURE Behavioral OF key_sync IS
             COMPONENT dff
                  PORT (clk   :  IN   std_logic;
                        d     :  IN   std_logic;
                        q     :  OUT  std_logic;
                        qn    :  OUT  std_logic);
             END COMPONENT dff;
             SIGNAL tmp1 :  std_logic;
             SIGNAL tmp2 :  std_logic;
             SIGNAL tmp3 :  std_logic;
             SIGNAL tmp4 :  std_logic;
             SIGNAL tmp5 :  std_logic;
             SIGNAL tmp6 :  std_logic;
BEGIN
        tmp2 <=key_in NAND tmp1;
        tmp1 <=tmp3 NAND tmp2;
        key_out <=tmp4 AND tmp5;
        U1: dff
          PORT MAP(clk,tmp2,tmp4,tmp3);
        U2: dff
          PORT MAP(clk,tmp4,tmp6,tmp5);
END Behavioral;

文中有2处错误,说qn不在dff VHDL DESIGN 文件夹中
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-19 10:20 , Processed in 0.068912 second(s), 19 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表