集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 2119|回复: 6

简谈FPGA的上电复位

[复制链接]
晓灰灰 发表于 2018-6-18 10:34:52 | 显示全部楼层 |阅读模式
        大家好,博主最近有事忙了几天,没有更新,今天正式回来了。那么又到了每日学习的时间了,今天咱们来聊一聊 简谈FPGA的上电复位,欢迎大家一起交流学习。

        在基于verilog的FPGA设计中,我们常常可以看到以下形式的进程:
       

        信号rst_n用来对进程中所用变量的初始化,这个复位信号是十分重要的,如果没有复位,会导致一些寄存器的初始值变得未知,如果此时FPGA就开始工作的话,极易导致错误。

        那么,这个复位信号来自何处?难道我们做好的系统,每次上电后都要手动按一下reset按钮么?

        答案是否定的!这个复位信号其实是由特定的程序来产生的,系统每次上电,都会由该程序产生一个复位信号,从而避免了手动复位。

        在网上找了多种方案,觉得只有这个程序比较简单实用,转来如下:
       
       

        说明:

        1.第一个进程用来延时,当上电后,延时100ms,以保证FPGA内部达到稳定状态;此时sys_rst_n始终为0,也就是系统时钟处于复位状态中;

        2.当100ms延时结束后,sys_rst_n与系统时钟同步释放,即sys_rst_n拉高,复位结束,系统开始正常工作。

        今天就聊到这里,各位,加油。
       

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| 晓灰灰 发表于 2018-6-18 11:16:41 | 显示全部楼层
简谈FPGA的上电复位
zhangyukun 发表于 2018-6-19 09:15:11 | 显示全部楼层
简谈FPGA的上电复位
lixirui 发表于 2018-6-19 09:32:57 | 显示全部楼层
简谈FPGA的上电复位
 楼主| 晓灰灰 发表于 2018-6-22 14:27:13 | 显示全部楼层
干货分享、、、、、、、、、、、、、、、、、
 楼主| 晓灰灰 发表于 2018-6-22 14:27:38 | 显示全部楼层
干货分享、、、、、、、、、、、、、、、、、
 楼主| 晓灰灰 发表于 2018-8-6 11:49:29 | 显示全部楼层
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-4-26 04:46 , Processed in 0.074344 second(s), 20 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表