集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
12
返回列表 发新帖
楼主: Python029

Verilog是什么,为什么要学习Verilog,学习Verilog有什么用?

[复制链接]
 楼主| Python029 发表于 2018-8-6 15:28:36 | 显示全部楼层
Verilog语言的特性,,,,,,;
 楼主| Python029 发表于 2018-8-7 16:32:09 | 显示全部楼层
学习FPGA我们需要掌握哪些知识呢?
http://www.fpgaw.com/thread-111442-1-1.html

Verilog是什么,为什么要学习Verilog,学习Verilog有什么用?
http://www.fpgaw.com/thread-111430-1-1.html

FPGA工程师就业班学习笔记第一天笔录
http://www.fpgaw.com/thread-111247-1-1.html

夏宇闻老师致词暑假FPGA工程师就业班开课
http://www.fpgaw.com/thread-111379-1-1.html

夏宇闻老师谈FPGA学习方法
http://www.fpgaw.com/thread-111321-1-1.html

集成电路领域方面的人才成为国家急需的高层次技术人才
http://www.fpgaw.com/thread-111328-1-1.html

4X4矩阵键盘计算器实验
http://www.fpgaw.com/thread-111468-1-1.html

腾讯课堂
至芯科技邀您一起学习FPGA
https://ke.qq.com/course/298427?tuin=2c83c804

今天晚上7点有FPGA直播课,欢迎大家去捧场。讲解内容为DDS。
https://ke.qq.com/course/298427?tuin=2c83c804


FPGA能做些什么?
http://www.fpgaw.com/thread-111481-1-1.html

数字电子技术基础(阎石)
http://www.fpgaw.com/thread-111472-1-1.html

FPGA学习的十条建议
http://www.fpgaw.com/thread-111158-1-1.html

Quartus Prime 18.0正式版 下载链接和破解器
http://www.fpgaw.com/thread-111497-1-1.html

Quartus Prime 17.1正式版 下载链接和破解器
http://www.fpgaw.com/thread-111498-1-1.html
 楼主| Python029 发表于 2018-8-8 17:03:52 | 显示全部楼层
需要的可以自行下载 初步看看 ,,,,,
 楼主| Python029 发表于 2018-8-17 10:56:54 | 显示全部楼层
大家好,请教一下,FPGA时钟输入可以使用差分输入时钟吗?
zxopenhl 发表于 2018-8-17 20:25:29 | 显示全部楼层
楼主资料不错!
 楼主| Python029 发表于 2018-8-18 10:41:44 | 显示全部楼层
FPGA目前就业如何?好就业吗?我是一个不起眼的三本院校的小学生,单片机玩的还行(自我感觉),C语言,汇编语言常用语法掌握差不多;但是,我听我的师姐师哥说是FPGA门槛性较高,对学历也有要求?说心里话,我对FPGA抱有很大的兴趣,我大二下学期在淘宝上购买了夏老师的《Verilog 数字系统设计与验证》这本书,Verilog 语法也看的差不多,但是,感觉还是不够系统不够深入的来提高设计能力;在相关的招聘网站上也浏览了FPGA招聘岗位要求及薪资,说心里话,薪资很诱人;发展空间及成长空间也很大,所以,现在我想系统的来学习FPGA,促使我能够步入该行业,慢慢修炼自我,技术重在沉淀;世界这么大,牛人这么多;需要学习借鉴的还很多,向大牛看齐,紧跟大牛学习,,,,,,,,,,,,,,,。
 楼主| Python029 发表于 2018-8-21 10:51:14 | 显示全部楼层
培训体会:
参加过至芯科技FPGA就业班后,感觉通过学习基础打牢固了,能进行企业中的典型应用开发了,又接着报名参加了至芯科技的FPGA高级培训班,李凡老师介绍了FPGA图像、数字信号处理,SOPC 开发, PCI采集卡,fpga高级开发技巧等,受益匪浅,自己对fpga常见开发方向都能把握了,也学习了多个项目案例,从中学习到了大型项目开发经验。李老师的项目开发经验很丰富,从李老师身上学到了很多开发技巧,李老师也乐于言传身教,一个困难反复手把手教。

1803期
中北大学
徐xx
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-4-25 08:08 , Processed in 0.066140 second(s), 17 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表