集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 2699|回复: 6

EDA技术在数字电路设计中的应用

[复制链接]
lcytms 发表于 2018-8-31 09:07:37 | 显示全部楼层 |阅读模式
EDA技术在数字电路设计中的应用

中文期刊文章

Application of EDA to Digital Circuit Design

作  者:罗敏
Luo Min (Wuhan Engineering Institute, Wuhan 430080, Hubei)
作者机构:武汉工程职业技术学院,湖北武汉430080
出 版 物:《武汉工程职业技术学院学报》 (武汉工程职业技术学院学报)
年 卷 期:2017年 第1期

摘  要:
在数字电路的设计中,竞争-冒险现象都是影响电路稳定性和准确性的重要因素。
利用EDA技术的波形仿真,通过理论分析,探讨消除竞争-冒险现象的方法,使学生深入理解数字电路中的竞争-冒险现象,提高教学效果。

页  码:27-28页
主 题 词:        数字电路                 EDA技术                         QuartusⅡ软件                 竞争-冒险现象
                digital circuit         EDA technology                 Quartus Ⅱsoftware         race and hazard
学科分类:TN79-4
核心收录:暂无

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2018-8-31 09:10:04 | 显示全部楼层
EDA技术在数字电路设计中的应用
p1

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2018-8-31 09:11:05 | 显示全部楼层
EDA技术在数字电路设计中的应用
p2

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2018-8-31 09:12:19 | 显示全部楼层
EDA技术在数字电路设计中的应用
p3

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
lixirui 发表于 2018-12-31 16:53:38 | 显示全部楼层
EDA技术在数字电路设计中的应用
lixirui 发表于 2019-1-1 16:07:19 | 显示全部楼层
EDA技术在数字电路设计中的应用
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-4-27 05:11 , Processed in 0.072028 second(s), 20 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表