集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 9748|回复: 32

XILINX FPGA开发板

[复制链接]
frfrfreefpga 发表于 2018-11-7 09:18:40 | 显示全部楼层 |阅读模式
本帖最后由 frfrfreefpga 于 2018-11-26 10:28 编辑


物理尺寸:262.53mm×111.1mm
1.XILINX FPGA:
:XCVU9P-2FLGA2104I

2. 时钟系统:
1路50M单端有源晶振时钟
2路125M差分有源晶振时钟
1片可定制时钟芯片,各输出4路差分时钟。
1片可编程时钟芯片Si570备用
CPLD上1片100M差分时钟

3.板载存储器:
4个独立的DDR4 LRDIMM插槽,每个插槽最高可支持到64GB 2666MHz的DIMM模块

4.通信接口:
4路QSFP+,每通道最高可支持到28.05Gbps
PCIex16支持PCIe Gen1、PCIe Gen2、PCIe Gen3

5.系统监控:
温度传感器
风扇
系统复位按键
FPGA重配置按键

6.通用输入/输出口:
8路LED灯

7.电源:
12V DC输入
PCIe插槽供电

详情咨询:
了解更多详情,敬请登陆:www.openfpga.cn  
详情请咨询QQ: 285843942   1183432986  微信:c285843942
淘宝网址:https://freefpga.taobao.com
 楼主| frfrfreefpga 发表于 2018-11-8 10:05:29 | 显示全部楼层

了解更多详情,敬请登陆:www.openfpga.cn  
详情请咨询QQ: 285843942   1183432986  微信:c285843942
淘宝网址:https://freefpga.taobao.com
 楼主| frfrfreefpga 发表于 2018-11-9 09:04:18 | 显示全部楼层

了解更多详情,敬请登陆:www.openfpga.cn  
详情请咨询QQ: 285843942   1183432986  微信:c285843942
淘宝网址:https://freefpga.taobao.com
 楼主| frfrfreefpga 发表于 2018-11-12 09:48:34 | 显示全部楼层

了解更多详情,敬请登陆:www.openfpga.cn  
详情请咨询QQ: 285843942   1183432986  微信:c285843942
淘宝网址:https://freefpga.taobao.com
 楼主| frfrfreefpga 发表于 2018-11-13 09:25:26 | 显示全部楼层


了解更多详情,敬请登陆:www.openfpga.cn  
详情请咨询QQ: 285843942   1183432986  微信:c285843942
淘宝网址:https://freefpga.taobao.com
 楼主| frfrfreefpga 发表于 2018-11-14 09:43:01 | 显示全部楼层


了解更多详情,敬请登陆:www.openfpga.cn  
详情请咨询QQ: 285843942   1183432986  微信:c285843942
淘宝网址:https://freefpga.taobao.com
 楼主| frfrfreefpga 发表于 2018-11-15 09:10:00 | 显示全部楼层


了解更多详情,敬请登陆:www.openfpga.cn  
详情请咨询QQ: 285843942   1183432986  微信:c285843942
淘宝网址:https://freefpga.taobao.com
 楼主| frfrfreefpga 发表于 2018-11-16 09:03:40 | 显示全部楼层


了解更多详情,敬请登陆:www.openfpga.cn  
详情请咨询QQ: 285843942   1183432986  微信:c285843942
淘宝网址:https://freefpga.taobao.com
 楼主| frfrfreefpga 发表于 2018-11-19 10:43:11 | 显示全部楼层


了解更多详情,敬请登陆:www.openfpga.cn  
详情请咨询QQ: 285843942   1183432986  微信:c285843942
淘宝网址:https://freefpga.taobao.com
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-4-25 13:07 , Processed in 0.071932 second(s), 19 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表