|
library IEEE; //表示打开IEEE库,因为IEEE库不属于VHDL的标准库,所以使用库的内容要先声明
use ieee.numeric_std.all; //USE和ALL是关键词,表示允许使用IEEE库中numeric_std程序包中的所有内容,这个程序包主要是用来做数据类型转换
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
use ieee.std_logic_1164.all;
library UNISIM; //UNISIM是xilinx的库函数,为了仿真使用。Using this declaration, the simulator references the functional models for all device primitives. In addition to this declaration, you must compile the library and map the library to the simulator.
use UNISIM.VCOMPONENTS.ALL;
|
|