请选择 进入手机版 | 继续访问电脑版

集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 7924|回复: 17

EDA软件巡礼5:Debussy(Verdi)

[复制链接]
lcytms 发表于 2019-2-23 10:22:52 | 显示全部楼层 |阅读模式
本帖最后由 lcytms 于 2019-2-23 13:41 编辑

EDA软件巡礼5:Debussy(Verdi)

参考链接:https://blog.csdn.net/immeatea_aun/article/details/80338390
                http://www.cnblogs.com/aikimi7/p/3357213.html


Debussy是NOVAS Software, Inc ( 思源科技 )发展的HDL Debug & Analysis tool,这套软体主要不是用来跑模拟或看波形,它最强大的功能是:能够在HDL source code、schematic diagram、waveform、state bubble diagram之间,即时做trace,协助工程师debug。

之前使用MoselSim进行仿真并进行验证,MoselSim中查看波形进行调试已经很方便,详见另一篇博文“ModelSim之TCL仿真”。
后来网上都说Debussy用于debug查看波形更为方便,能在源代码、原理图和波形三者之间进行切换,一听觉得不试用下真是亏了。

下图所示为整个Debussy 的原理架构,可归纳几个结论:

Debussy有四个主要单元(component),nTrace、nWave、nSchema、nState
    nTrace -- Hypertext source code analysis and browse tool (为Debussy 所开启的主画面)
    nWave -- Waveform analysis tool (可由nTrace内开启)
    nSchema -- Hierarchy schematic generator
    nState -- Finite State Machine Extraction and analysis tool

Debussy本身不含模拟器(simulator),必须呼叫外部模拟器(如Verilog-XL or ModelSim)产生FSDB file,其显示波形的单元"nWave"透过读取FSDB file,才能显示波形或讯号值的变化。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2019-2-23 10:33:58 | 显示全部楼层
本帖最后由 lcytms 于 2019-2-23 10:37 编辑

参考链接:http://www.cnblogs.com/aikimi7/p/3357213.html

Debussy的安装与使用

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2019-2-23 10:46:50 | 显示全部楼层
本帖最后由 lcytms 于 2019-2-23 10:51 编辑

参考链接:http://www.fpgaw.com/thread-57873-1-1.html

至芯PPT_Debussy代码调试工具.pdf

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
Sunlife 发表于 2019-2-23 10:55:05 | 显示全部楼层
                           
 楼主| lcytms 发表于 2019-2-23 11:31:50 | 显示全部楼层
本帖最后由 lcytms 于 2019-2-26 15:21 编辑

参考链接:https://blog.csdn.net/u013927625/article/details/49496583
                https://pan.baidu.com/s/1dDpL5k9
                http://bbs.eetop.cn/thread-485561-1-1.html

synopsys verdi2014-03安装破解过程


windows下的debussy最高版本也就5.4,novas以后就不在window下开发了。
转战到linux下叫verdi。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2019-2-23 11:38:05 | 显示全部楼层
参考链接:https://www.synopsys.com/zh-cn/verification/debug/verdi.html

Verdi

自动化调试系统
        Verdi 自动化调试系统是 Verdi SoC 调试平台的核心,可为所有设计和验证流程提供全面的调试功能。
        它包括强大的技术,可帮助您理解复杂和不熟悉的设计行为,自动化繁琐的调试流程,统一各种复杂的设计环境。

将调试时间缩短一半
        Verdi® 系统让您专注于为您的设计带来更高价值的任务,通常能够将调试时间缩短一半。
        这些时间的节省通过独特的技术来实现:
                使用独特的行为分析技术自动执行行为追踪
                在灵活而强大的设计视图中提取、隔离并显示相关的逻辑
                揭示设计、断言和测试平台之间的操作和交互

完整的调试系统
        Verdi 自动化调试系统集成了您在调试系统中所期望的所有技术和功能。
        另外,Verdi 系统结合了先进的调试功能和对广泛的语言和方法的支持。

核心功能
        Verdi 系统提供了以下基本调试功能:
                功能全面的波形查看器使您能够显示和分析各个时间段的活动
                功能强大的波形比较引擎可以让您隔离快速信号数据库 (FSDB) 文件之间的差异
                源代码浏览器使您能够在源代码和层次结构之间轻松来回移动
                灵活的原理图和方框图使您能够使用熟悉的符号显示逻辑和连接
                直观的气泡图帮助您揭示有限状态机的操作

高级功能
        Verdi 系统还包含以下高级调试功能:
                信号活动的自动追踪使用强大的行为分析技术,可以在多个时钟周期内快速追踪活动
                时间流量视图提供时间和结构的组合显示,帮助您快速了解因果关系
                基于事务的调试、灵活的事务处理和消息支持,可调试和分析更高抽象级别的设计
                基于断言的调试内置断言支持,有助于从断言故障快速移动到相关设计活动
        SystemVerilog 测试平台调试:
                SystemVerilog 测试平台 (SVTB) 和库的完整源代码支持,包括通用验证方法 (UVM),以确保测试平台代码的可重用性和互操作性
                帮助您了解测试平台代码的专业化视图,包括基于声明的层次结构浏览和导航、类继承和关系理解以及追踪
                内置的消息记录和自动化的 UVM 事务记录功能,结合先进的可视化技术,使您可以在仿真后验证环境中全面了解测试平台的活动
                借助全功能交互式仿真控制,您可以逐步执行复杂的测试平台代码以进行更详细的分析
                借助 UVM 感知型调试视图,用户可以从特定的 UVM 方面探索验证结果,如资源、工厂、阶段和序列
                事务级别的调试视图基于扩展的 FSDB,并支持新的事务和关系数据记录

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
晓灰灰 发表于 2019-2-23 11:45:50 | 显示全部楼层
EDA软件巡礼5:Debussy
bjzx106 发表于 2019-2-23 11:48:54 | 显示全部楼层
nLint是用于设计规则检查的,Verdi是Debug工具 说实话,都差不多
Verdi = deubssy沒錯
早期叫debussy,那時有windows的版本 後來進化到6.0以後,就只出linux版本,而且改名為Verdi
功能則是大同小異
verdi和debussy的功能是一样的都是用来看simulation 的结果
 楼主| lcytms 发表于 2019-2-23 12:00:59 | 显示全部楼层
本帖最后由 lcytms 于 2019-2-23 13:38 编辑

参考链接:

Verdi基础知识整理

Verdi主要在以下方面使用

Verdi使用情形:
        IC验证工程师(Debug)
        IC设计工程师(Review)
学习目标主要以下三方面:
        能够生成fsdb波形;
        能够查看fsdb波形;
        能够追踪RTL代码。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2019-2-23 14:04:56 | 显示全部楼层
参考链接:http://bbs.eetop.cn/thread-485561-1-1.html

[求助] 求VERDI3 2014.03 破解方法,以及nLint 2013.09的破解方法



VERDI3 2014.03 可以直接用synopsys的license,不用破解的

链接: http://pan.baidu.com/s/1dDESQRZ 密码: g3s7
链接: http://pan.baidu.com/s/1hOZ5k 密码: gwwv

您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-4-19 09:43 , Processed in 0.077057 second(s), 20 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表