集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 3667|回复: 5

怎样在一个进程里面判断不同时钟信号的上升沿

[复制链接]
lilanjun004 发表于 2011-5-19 09:56:13 | 显示全部楼层 |阅读模式
如题:要在同一个进程里面判断两个时钟信号的上升沿,编译报错如下:
Error (10822): HDL error at deal_voice48.vhd(70): couldn't implement registers for assignments on this clock edge
进程中是要检测CLKIN和CLKOUT的上升沿,process中的敏感信号只添加了CLKIN
honhu 发表于 2011-7-8 20:41:32 | 显示全部楼层
把“CLKIN”和“CLKOUT”同时加到process里
蓝余 发表于 2011-7-11 22:18:57 | 显示全部楼层
感觉问题不是很明确。
562023030 发表于 2011-7-13 14:01:01 | 显示全部楼层
process(valid,valid_reg,prog_full,prog_full_reg)
    begin
        if (valid = '1' and valid_reg = '0') then
            wr_en <= '1';
        elsif (prog_full = '1' and prog_full_reg = '0') then
            wr_en <= '0';
        end if;
        start_fft <= (not prog_full_reg) and prog_full ;
    end process;
562023030 发表于 2011-7-13 14:02:10 | 显示全部楼层
上面的valid_reg,prog_full_reg是valid,prog_full延迟一个周期的信号,就像这样
    process(sysclk)
    begin
        if (rising_edge(sysclk)) then
            valid_reg    <= valid;
            prog_full_reg <= prog_full;
        end if;
    end process;
562023030 发表于 2011-7-13 14:02:15 | 显示全部楼层
上面的valid_reg,prog_full_reg是valid,prog_full延迟一个周期的信号,就像这样
    process(sysclk)
    begin
        if (rising_edge(sysclk)) then
            valid_reg    <= valid;
            prog_full_reg <= prog_full;
        end if;
    end process;
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-6-17 21:44 , Processed in 0.079421 second(s), 24 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表