集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 2127|回复: 4

clk上升沿和下降沿触发,可是仿真波形观察到输出始终为0

[复制链接]
jpg4151 发表于 2011-5-29 20:41:55 | 显示全部楼层 |阅读模式
module count4(out,reset,clk);
output[3:0] out;
input reset,clk;
reg[3:0] out;
always @(clk)
begin
if (reset)  out<=0;
else        out<=out+1;
end
endmodule

clk上升沿和下降沿触发,可是仿真波形观察到out 始终为0
  

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| jpg4151 发表于 2011-5-29 20:44:27 | 显示全部楼层
always @(clk)若改为always @(negedge clk)或always @(posedge clk) 则out输出值在变化
zjj81515 发表于 2011-6-20 14:04:39 | 显示全部楼层
语法错误.................
david_li1984 发表于 2011-6-28 10:59:45 | 显示全部楼层
改成always @(negedge clk or posedge clk) 试试
蓝余 发表于 2011-6-28 23:38:26 | 显示全部楼层
语法上是不能上升沿和下降沿同时存在一个进程里的。
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-19 08:06 , Processed in 0.071525 second(s), 21 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表