集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1765|回复: 4

请问 有什么工具可以把verilog文件转为vhdl的嘛?

[复制链接]
ccs 发表于 2011-6-19 21:12:23 | 显示全部楼层 |阅读模式
请问 有什么工具可以把verilog文件转为vhdl的嘛?
dspmatlab 发表于 2011-7-25 10:51:34 | 显示全部楼层
人脑。。。。。
liujilei311 发表于 2011-7-25 11:29:48 | 显示全部楼层
最好自己在熟悉程序结构的情况下,亲自动手改,这样对自己比较好!!!!
蓝余 发表于 2011-7-25 13:10:10 | 显示全部楼层
学一下verilog吧!国内基本都用的。
liujilei311 发表于 2011-7-26 15:04:10 | 显示全部楼层
恩,现在用verilog的好像比较多的!!!!!!!!!!!!!
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-20 20:48 , Processed in 0.078528 second(s), 23 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表