集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 2339|回复: 7

高手进,关于FPGA和AD的

[复制链接]
kingners 发表于 2011-6-21 22:06:18 | 显示全部楼层 |阅读模式
小弟最近做了一个采集系统,将模拟电压经过AD转换后送到FPGA里,注意AD是串行输出,和FPGA连接的时候不知道中间还要加什么,是不是缓存之类的,具体原理求高手说下。
至芯兴洪 发表于 2011-6-25 18:20:21 | 显示全部楼层
AD的控制信号由FPGA直接给出,数据在FPGA内经过一个寄存器采集
至芯兴洪 发表于 2011-6-25 18:30:08 | 显示全部楼层
AD的控制信号由FPGA直接给出,数据在FPGA内经过一个寄存器采集
guyibeijing 发表于 2011-9-26 22:30:10 | 显示全部楼层
AD的DATA直接进入FPGA,然后FPGA把DATA传进寄存器,传给PC机的时候,再从寄存器里读取DATA,这样读数会高速,不会出现毛刺
黄昏的火柴棒 发表于 2011-9-27 21:20:06 | 显示全部楼层
关键是什么样的串行输出接口
snowinmoon 发表于 2011-9-28 14:04:05 | 显示全部楼层
可以直接给吧,或者你可以接个FIFO,然后FPGA处理完的信号,在通过一个FIFO往PC上传
guyibeijing 发表于 2011-11-15 22:16:54 | 显示全部楼层
1.AD芯片后加一个电平转换芯片,转换为FPGA的3.3V,
2.可以加存储器,把读到得数据经FPGA存到存储器里,用的时候再从存储器里读
guyibeijing 发表于 2011-11-15 22:18:10 | 显示全部楼层
回复 4# guyibeijing


    这个有时钟限制,频率大,采集数据部准确,会出现数据漂移
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-16 02:03 , Processed in 0.122917 second(s), 20 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表