集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1991|回复: 0

关于vhdl的问题:如果a、b、c同时改变, 该进程是否同时执行三次?

[复制链接]
CPLD 发表于 2010-5-3 07:03:17 | 显示全部楼层 |阅读模式
关于vhdl的问题:process(a, b, c) begin… end process; 如果a、b、c同时改变, 该进程是否同时执行三次?
答:PROCESS STATEMENTS 中的执行跟逻辑有关系,  假如是同步逻辑,  则在每次时钟的触发沿根据A, B, C的条件来执行一次;假如是异步逻辑,  则根据判断A、B、C的条件来执行. 一般我们都推荐使用同步逻辑设计
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-13 18:18 , Processed in 0.065770 second(s), 22 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表