请选择 进入手机版 | 继续访问电脑版

集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 983|回复: 0

多路选择器

[复制链接]
zxopenljx 发表于 2019-4-20 10:51:17 | 显示全部楼层 |阅读模式

        定义:多路选择器是数据选择器的别称。在多路数据传送过程中,能够根据需要将其中任意一路选出来的电路,叫做数据选择器,也称多路选择器或多路开关。

        分类:
        多路选择器常见分类有4选1数据选择器(见下图)、8选1数据选择器(型号为74151、74LS151、74251、74LS152)、16选1数据选择器(可以用两片74151连接起来构成)等之分。多路选择器还包括总线的多路选择,模拟信号的多路选择等,相应的器件也有不同的特性和使用方法.具体可以查找相关网站。

        结构:
        典型的 FPGA 器件主要包含 3 类基本资源:可编程逻辑块(configurable logic block,CLB)、布线资源和可编程输入/输出模块。可编程逻辑块四周被预制的布线资源通道包围,可编程输入/输出模块分布在 FPGA四周,除了上述 3 种资源以外,通常在 FPGA 中还包含块 RAM、乘法器等可选资源。

        在 FPGA 各种资源中,可编程逻辑块是实现用户功能的基本单元,每个可编程逻辑块包含 1 个互连开关矩阵和 4 个 SLICEs,其中每个 SLICE 包括 2 个查找表(Look-Up-Table,LUT)、2 个触发器和一些多路选择器。互连开关矩阵主要由不同长度导线和多个布线开关组成。

        每个布线开关由多路选择器、缓冲器和一些可编程的 SRAM 单元构成。其中多路选择器是连接各布线轨道和可编程逻辑块的桥梁,其结构对FPGA 的性能和功耗都有较大的影响。根据多路选择器所驱动的导线长度不同,FPGA 中多路选择器的规模从 4 选 1 到 30 选 1 不等。



您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-3-28 16:22 , Processed in 0.060980 second(s), 19 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表