集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 4928|回复: 22

Verilog 中同步复位和异步复位比较

[复制链接]
Python0291 发表于 2019-8-13 15:06:40 | 显示全部楼层 |阅读模式

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| Python0291 发表于 2019-8-14 11:05:31 | 显示全部楼层
感谢楼主分享资料,学习学习;;;
 楼主| Python0291 发表于 2019-8-15 10:28:49 | 显示全部楼层
感谢楼主分享资料,学习学习;;;
 楼主| Python0291 发表于 2019-8-16 11:03:12 | 显示全部楼层
感谢楼主分享资料,学习学习;;;
雷磊 发表于 2019-8-16 14:33:01 | 显示全部楼层
感谢楼主分享资料,学习学习
lxw 发表于 2019-8-16 14:50:47 | 显示全部楼层
Verilog 中同步复位和异步复位比较
 楼主| Python0291 发表于 2019-8-17 10:24:12 | 显示全部楼层
感谢楼主分享资料,学习学习;;;
 楼主| Python0291 发表于 2019-8-18 10:18:23 | 显示全部楼层
感谢楼主分享资料,学习学习;;;
 楼主| Python0291 发表于 2019-8-19 16:45:51 | 显示全部楼层
感谢楼主分享资料,学习学习;;;
 楼主| Python0291 发表于 2019-8-20 15:03:14 | 显示全部楼层
这个资料挺好的  看看学习学习
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-4-26 07:44 , Processed in 0.075038 second(s), 20 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表