请选择 进入手机版 | 继续访问电脑版

集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1911|回复: 6

m序列的原理以及verilog实现

[复制链接]
fpga_feixiang 发表于 2019-10-16 17:20:48 | 显示全部楼层 |阅读模式
m序列是最长线性反馈移位寄存器序列的简称。它是由带线性反馈的移存器产生的周期最长的序列。一般来说,一个n级线性反馈移存器可能产生的最长周期等于(2^n -1)。

m序列是一种典型的伪随机序列。在通信领域有着广泛的应用,如扩频通信、卫星通信的码分多址(CDMA),数字数据中的加密、加扰、同步、误码率测量等领域。



线性反馈移位寄存器原理方框图



与产生m序列有关的3个方程

1)递推方程



它给出了状态ak和前面n个状态的关系。

2)特征方程(又叫特征方程式)



它决定了移存器的反馈连接和序列的结构。式中x^i仅指明其系数(1或0)代表ci的值,x本身的取值并无实际意义。
zxopenhl 发表于 2019-10-17 11:53:15 | 显示全部楼层
m序列的原理以及verilog实现
zxopenljx 发表于 2022-9-13 00:49:39 | 显示全部楼层
m序列的原理以及verilog实现
大鹏 发表于 2022-9-22 17:10:30 | 显示全部楼层
m序列的原理以及verilog实现
dameihuaxia 发表于 2022-9-28 14:44:32 | 显示全部楼层
VGA图像控制器的CPLDFPGA设计与实现
http://www.fpgaw.com/forum.php?m ... 3&fromuid=58166
(出处: fpga论坛|fpga设计论坛)
LYF 发表于 2022-9-30 17:22:23 | 显示全部楼层
m序列的原理以及verilog实现
http://www.fpgaw.com/forum.php?m ... 6&fromuid=59610
(出处: fpga论坛|fpga设计论坛)
dameihuaxia 发表于 2022-10-27 14:04:59 | 显示全部楼层
Xilinx FPGA差分时钟转单端时钟设计
http://www.fpgaw.com/forum.php?m ... 3&fromuid=58166
(出处: fpga论坛|fpga设计论坛)
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-3-29 18:55 , Processed in 0.206351 second(s), 19 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表