集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 3520|回复: 9

采用等精度测频原理的频率计的程序与仿真

[复制链接]
zxopenyz 发表于 2020-1-12 08:52:38 | 显示全部楼层 |阅读模式
本帖最后由 zxopenyz 于 2020-1-12 09:00 编辑

文件名:PLJ.vhd。
--功能:4位显示的等精度频率计。

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity PLJ is
  port(clk:in std_logic;                      --基准时钟(10KHz)
      tclk:in std_logic;                     --被测信号
      start:in std_logic;                     --复位信号
      alarm0,alarm1: out std_logic;            --超量程,欠量程显示  
      dian: out std_logic_vector(3 downto 0);    --小数点
      data1: out integer range 0 to 9999);        --频率数据
end PLJ;  
architecture behav of PLJ is
signal q:integer range 0 to 9999;               --预置闸门分频系数
signal q1:integer range 0 to 10000;             --被测信号计数器
signal q2:integer range 0 to 20000;             --基准信号计数器
signal en,en1:std_logic;                      --预置闸门,实际闸门
signal qq,qqq:integer range 0 to 200000000;     --运算器
signal data0:integer range 0 to 9999;           --频率数据中间信号

begin
process(clk)                 --此进程得到一个预置闸门信号
begin
  if clk'event and clk='1' then
     if start='1' then q<=0;en<='0';
     elsif q=9999 then q<=9999;en<='0';
     else q<=q+1;en<='1';
     end if;
  end if;
end process;

process(tclk)               --此进程计被测信号脉冲数,和得到一个实际闸门信号
begin
  if tclk'event and tclk='1' then
     if start='1' then q1<=0;en1<='0';
     elsif en='1' then q1<=q1+1;en1<='1';
     else en1<='0';
     end if;
  end if;
end process;

process(clk)           --此进程完成在实际闸门时间内,计基准脉冲数   
begin
  if clk'event and clk='1' then
     if start='1' then q2<=0;
     elsif en1='1' then
        if q2=20000 then q2<=20000;
        else q2<=q2+1;
        end if;
     end if;
  end if;
end process;
  
process(clk)                --此进程完成等精度频率计的运算
begin
  if clk'event and clk='1' then
     if start='1' then data0<=0;dian<="0000";alarm0<='0';alarm1<='0';qqq<=0;qq<=00;
     elsif en1='0' then
        if q1>=1000  then qq<=q1*10000;          --根据q1的大小来判断小数点的位置
           if qqq<qq then qqq<=qqq+q2;data0<=data0+1;dian<="0000";     --完成数据运算
           elsif data0>=10000 then alarm0<='1';                          --超量程显示         
           else data1<=data0;
           end if;
        elsif q1>=100 then qq<=q1*100000;
           if qqq<qq then qqq<=qqq+q2;data0<=data0+1;
           elsif data0>=10000 then data1<=1000;dian<="0000";  
           else data1<=data0;dian<="0010";
           end if;
        elsif q1>=10 then qq<=q1*1000000;
           if qqq<qq then qqq<=qqq+q2;data0<=data0+1;
           elsif data0>=10000 then data1<=1000;dian<="0010";  
           else data1<=data0;dian<="0100";
           end if;
        elsif q1>=1 then qq<=q1*10000000;
           if qqq<qq then qqq<=qqq+q2;data0<=data0+1;
           elsif data0>=10000 then data1<=1000;dian<="0100";  
           else data1<=data0;dian<="1000";
           end if;   
        end if;   
     elsif q2>19999 then alarm1<='1';                                    --欠量程显示
     else alarm1<='0';
     end if;
  end if;
end process;
end behav;
 楼主| zxopenyz 发表于 2020-1-12 08:53:03 | 显示全部楼层
本帖最后由 zxopenyz 于 2020-1-12 09:00 编辑

小数点dian在en1的下降沿就输出,在某些特殊情况,只有当数据转换完成时,小数点dian的信号才能保证正确。用数据作为闸门来控制小数点dian信号输出,这部分可以在译码部分完成。
月影星痕 发表于 2020-1-12 09:01:56 | 显示全部楼层
采用等精度测频原理的频率计的程序与仿真
zhangyukun 发表于 2020-1-12 09:06:56 | 显示全部楼层
采用等精度测频原理的频率计的程序与仿真
 楼主| zxopenyz 发表于 2020-1-13 08:21:11 | 显示全部楼层
自顶
采用等精度测频原理的频率计的程序与仿真
zxopenljx 发表于 2020-4-2 17:36:35 | 显示全部楼层
采用等精度测频原理的频率计的程序与仿真
hellokity 发表于 2020-4-3 14:39:06 | 显示全部楼层
采用等精度测频原理的频率计的程序与仿真
zxopenljx 发表于 2020-5-8 09:52:34 | 显示全部楼层
采用等精度测频原理的频率计的程序与仿真
zxopenljx 发表于 2023-8-28 16:03:24 | 显示全部楼层
采用等精度测频原理的频率计的程序与仿真
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-20 06:25 , Processed in 0.077738 second(s), 22 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表