集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1186|回复: 3

DAC0832 接口电路程序

[复制链接]
zxopenyz 发表于 2020-1-17 08:30:06 | 显示全部楼层 |阅读模式
--文件名:DAC0832.VHD
--功能:产生频率为762.9Hz的锯齿波。

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity DAC0832 is
  port(clk:in std_logic;                            --系统时钟
       rst:in std_logic;                           --复位信号
       ile: out std_logic;                          --数据锁存允许信号
      cont: out std_logic;                         --控制信号(WR1、WR2、CS、Xfer)
  data_out: out std_logic_vector(7 downto 0));         --波形数据输出
  end DAC0832;  
architecture behav of DAC0832 is
signal q:integer range 0 to 63;                       --计数器
signal data:std_logic_vector(7 downto 0);             --波形数据
begin
process(clk)
begin
if rst='1' then q<=0;                               --复位,对计数器q清零
elsif clk'event and clk='1' then
   if q=63 then q<=0;                             --此IF语句对系统时钟进行64分频
      if data="11111111" then data<="00000000";      --此IF语句产生锯齿波波形数据
      else data<=data+1;
      end if;
   else q<=q+1;
   end if;
end if;
end process;
ile<='1';cont<='0';data_out<=data;                   --ile、cont赋值;波形数据输出;
end behav;
月影星痕 发表于 2020-1-19 12:10:45 | 显示全部楼层
DAC0832 接口电路程序
zxopenljx 发表于 2023-7-24 17:38:23 | 显示全部楼层
DAC0832 接口电路程序
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-4-26 18:32 , Processed in 0.069675 second(s), 22 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表