请选择 进入手机版 | 继续访问电脑版

集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
楼主: Python0291

基于FPGA的数码管动态扫描驱动设计

[复制链接]
 楼主| Python0291 发表于 2020-9-19 10:23:30 | 显示全部楼层
基于VGA显示的10路逻辑分析仪
 楼主| Python0291 发表于 2020-9-21 11:11:31 | 显示全部楼层
基于VGA显示的10路逻辑分析仪
 楼主| Python0291 发表于 2020-9-23 10:07:16 | 显示全部楼层
基于FPGA的音乐播放器设计
 楼主| Python0291 发表于 2020-9-28 09:37:45 | 显示全部楼层
基于VGA显示的10路逻辑分析仪
 楼主| Python0291 发表于 2020-10-11 11:16:01 | 显示全部楼层
这个资料 挺不错的  新手可以看看
 楼主| Python0291 发表于 2020-10-13 10:14:37 | 显示全部楼层
FPGA基础资料 设计共享之
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-3-28 18:32 , Processed in 0.074329 second(s), 17 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表