集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 2371|回复: 6

VHDL2选1选择器

[复制链接]
fpga_feixiang 发表于 2020-4-7 00:05:23 | 显示全部楼层 |阅读模式
library ieee;
use ieee.std_logic_1164.all;   /*IEEE库使用说明*/

ENTITY mux21 IS                                /*器件mux21的外部接口信号书名,port相当于器件的引脚*/
port (a,b: in std_logic;
                  s: in std_logic;
                  y: out std_logic);
end entity mux21;

architecture one of mux21 is        /*器件mux21的内部工作逻辑描述,即为实体描述的器件功能机构*/
begin
        y<=a when s='0' else
                b  when s='1';

end  architecture one;
————————————————
大鹏 发表于 2020-4-7 14:46:57 | 显示全部楼层
VHDL2选1选择器
zxopenhl 发表于 2022-3-25 08:48:43 | 显示全部楼层
VHDL2选1选择器
大鹏 发表于 2022-4-6 13:49:47 | 显示全部楼层
VHDL2选1选择器
dameihuaxia 发表于 2022-4-8 14:36:20 | 显示全部楼层
verilog数字电压表
http://www.fpgaw.com/forum.php?m ... 8&fromuid=58166
(出处: fpga论坛|fpga设计论坛)
大鹏 发表于 2022-4-10 12:34:25 | 显示全部楼层
VHDL2选1选择器
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-4-20 22:26 , Processed in 0.067500 second(s), 22 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表