集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1120|回复: 2

VHDL锁存器的设计

[复制链接]
fpga_feixiang 发表于 2020-4-7 00:06:08 | 显示全部楼层 |阅读模式
library ieee;
use ieee.std_logic_1164.all;

entity Latch_p is
port(D:in std_logic;
          ena:in std_logic;
          Qut std_logic
          );
end entity ;

architecture one of Latch_p IS
signal sig_save:std_logic;
begin
        process (D,ena)
                begin
                        if ena='1' then
                                sig_save<=D;
                        end if;
                           Q<=sig_save;
        end process;
end architecture one;

————————————————
zxopenhl 发表于 2020-4-7 14:45:33 | 显示全部楼层
VHDL锁存器的设计
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-4-26 17:24 , Processed in 0.074153 second(s), 22 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表