集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 2393|回复: 2

急!verilog中怎样将数据存入存储器

[复制链接]
gpping2010 发表于 2011-8-4 11:31:43 | 显示全部楼层 |阅读模式
求助!我现在根据要求计算出了两个直线插补的数值X、Y,现在要将这两个数据存入存储器,一共要存入30组数据,然后再将存入的数据输入给插补模块,并且要求当存储器存满数据的时候,停止存入,当存储器空出位置时,再继续存入数据。我是新手,不知如何将数据存入存储器。请高手指点一下,最好能有程序举例。另外,希望高手给我推荐一些学习veilog编程的较好的资料、书籍等,不胜感激!
liujilei311 发表于 2011-8-31 08:30:43 | 显示全部楼层
关于verilog的比较好的教程有很多,你可以找一本适合自己的好好学习!!!!!!
lj916102 发表于 2011-8-31 11:20:13 | 显示全部楼层
FIFO做点小处理就好了。FULL来的时候不存,empty的时候再开始存。至于什么时候读,考虑下估计就差不多了吧!
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-14 19:45 , Processed in 0.069042 second(s), 23 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表